From df84550b8f0d7a480366fab34c08c784dea2739a Mon Sep 17 00:00:00 2001 From: Gunnar Beutner Date: Sat, 10 Jul 2021 13:46:36 +0200 Subject: [PATCH] Documentation: Suggest using x86_64 QEMU on Windows The x86_64 QEMU binary supports both i386 as well as x86_64 guests. By using the x86_64 binary users won't have to change anything when switching between i386 and x86_64 builds. --- Documentation/BuildInstructionsWindows.md | 15 +++++++++------ Documentation/QEMU_Components.png | Bin 0 -> 83367 bytes 2 files changed, 9 insertions(+), 6 deletions(-) create mode 100644 Documentation/QEMU_Components.png diff --git a/Documentation/BuildInstructionsWindows.md b/Documentation/BuildInstructionsWindows.md index becb0ec5e60..514341a5cb6 100644 --- a/Documentation/BuildInstructionsWindows.md +++ b/Documentation/BuildInstructionsWindows.md @@ -19,13 +19,16 @@ section to set up QEMU on your host system. ## Setting up QEMU -- Grab the latest QEMU binaries from [here](https://www.qemu.org/download/#windows) and install them. +- Grab the latest QEMU binaries from [here](https://www.qemu.org/download/#windows) and install them. At a minimum you +will need to install the tools as well as the system emulators for i386 and x86_64. -- Locate the executable `qemu-system-i386.exe` in WSL. -By default this will be located at `/mnt/c/Program Files/qemu/qemu-system-i386.exe`. +![QEMU Components](QEMU_Components.png) + +- Locate the executable `qemu-system-x86_64.exe` in WSL. +By default this will be located at `/mnt/c/Program Files/qemu/qemu-system-x86_64.exe`. - Set the `SERENITY_QEMU_BIN` environment variable to the location above. For example: \ -`export SERENITY_QEMU_BIN='/mnt/c/Program Files/qemu/qemu-system-i386.exe'` +`export SERENITY_QEMU_BIN='/mnt/c/Program Files/qemu/qemu-system-x86_64.exe'` - Set the `SERENITY_KERNEL_CMDLINE` environment variable to disable VirtIO support (Because it is currently broken on native windows QEMU): @@ -73,7 +76,7 @@ with feature detection logic in libgcc and resulting in this error. To workaround this, first adjust the `SERENITY_QEMU_CPU` setting to emulate a more restricted feature set. `SERENITY_QEMU_CPU="qemu32"` appears to work in some cases, however in others causes the boot freeze issue above. It's worth playing around with -various different values here to see if you can find one that works for you. Running `qemu-system-i386.exe -cpu ?` will +various different values here to see if you can find one that works for you. Running `qemu-system-x86_64.exe -cpu ?` will list the supported CPU configurations. If you cannot find a working CPU feature set, the next workaround is to patch libgcc in the Serenity toolchain build to @@ -88,7 +91,7 @@ Rebuild the toolchain using `Toolchain/BuildIt.sh` as normal, then rebuild Seren On some Windows systems running with >100% scaling, the booting phase of Serenity might slow to a crawl. Changing the zoom settings of the QEMU window will speed up the emulation, but you'll have to squint harder to read the smaller display. -A quick workaround is opening the properties of the QEMU executable at `C:\Program Files\qemu\qemu-system-i386.exe`, and +A quick workaround is opening the properties of the QEMU executable at `C:\Program Files\qemu\qemu-system-x86_64.exe`, and in the Compatibility tab changing the DPI settings to force the scaling to be performed by the System, by changing the setting at at the bottom of the window. The QEMU window will now render at normal size while retaining acceptable emulation speeds. diff --git a/Documentation/QEMU_Components.png b/Documentation/QEMU_Components.png new file mode 100644 index 0000000000000000000000000000000000000000..7ccf69e6205da0f0e688d8cfd656b5d3f06f4268 GIT binary patch literal 83367 zcmZ5_Q-ZQHhO+qP}@nfLqe&PA=N%#{%>c3> za^mnXI50p!K=6_hB1%9&Ak08Oz)esf|9V0PS#JNmfSr}Zg@CH3@y`A=z{~|@1%ZI- zVqrfG!T+_P9V9fJfq;lP|9gO0HF7+GfPRW3MFdsc^)9o(4ba4QhSlv}KI1!DJ0PV4 zg&nA`wa$yAsBOz|Z7uT}1tQUD(CDuj`iKRH1cbsmPMoe=?x(JG4MFFGk-E$)R&np2M1JBuaIv5zVgxpFkkx;q2 zrNmB9EfP*26dZkZm3FOb%7R^og5t&f0RqrJ$4H|&y+xAl0#f1yOKvUgKy`vh97@C= zHj}%d2dYZWq*koZ_z>%6NMk0X%F63BMVK(sO>U64p+cI0|1%CT)oj!Fbe46>qQ55v zL!=BE5et65c2{<@EO}62 z@1KUy!Zt9(zferU8u<+Lwzb@NSi`|iqR{`viXTRSv`u9H;H)f8>gEI3_Yz@*pIllX zP9lJan69<6`!5+(3vKL})fEzI8K*W?$lJhLM=S+1)PD5rU6?W*1|S9@d}2*xT^1^W z848EO97M9cSSnJF->}>JGgDHbh`k&u6kKp>l$Wv~6QN_-Vi8+btYOFkP)o-^=CH!h zrB}dwd;JMXJSc>>WRcNi7Aiv0uI`~3tya*)?8FSsv6xPQbMm|68CZY{QYr%M1uUOB zblPCHJ-+srM4iShZLsiy9M2HSi?Y{$$3h$^{jfmAbF|PcG@*g(8srlE+Th!sDWlHs zbQ#}(m^?TXPn*kcB+a?WD!NuQISRvC$6|Jk92U_;uTs_e##;0XLnqyZl4%uU-FVLO z>8OaxSe$kS6`?`;Rw4N>i&|am{5tbiW~S46O~GF=X1odp+9k^-y44-0fYzbHr>IMJ zk14z7MZ@PuLk{B`FJls`j3@(4q(a6X?q2R@@8iO~rfAs?H+&QM>L#@cOLa6n8|6QC zH+_FDw*l5o6hsn5*r7Y}eNhNR>39NhEHU^x+@t#T1v(5s>y`}@{RmZC7coDF-*OyP zTUj+;K!IfHLvq)6mad!72>&*-3OH*_XKq$uY1RD&vK0u>66*&gi%1;(_ouhC-2ULylVdE>Vq>Qyo3YguPzQmvML=emtxnA=YAE zzghfctPljABx%^jmWoD!lM|peCP0OR@#?ZJt7lt`#^Brax_Kh<^KJ3+&8wI5@~->< zyM3-*ao~jb`%34VX5AEM*&OP1ax7mI%xeA*Z+Q*n@I{r}Evx{K&3;V52Pmi{=(#`m zPa~9(TRZqzaG!rF9-soNs#!W?nb9Hh2kmAB@ruvtbu*YEI8j^Uuu2!yoO{jESGhn@ z-sd|j&DN*s7iid$VT-0+B&sy-lp3GjvQ3?T7e@zr5c`_eBhr6}BIU0dWQ_IA%5<$0 zy)nWMHtH`!*RH7KtDStF&fWqJ{VyDTdD z?H-{AcwJK56_aQ*YUX+3@EiC$9u|}J;fgGPSgYz6F|+O1B<8W)xhU=uM1|@40BcC_ zu3q@r#Ev_8@~q1#_x;$Sf?Tpc5%Knex$GUAHXO#`k-6S&OA6rPm*v@{xjt@szV)Ku zKS25>RM=R3B;>P`kNW^76K6nnu4ns`P&DSVz%7{G2aV$3M^x@1o*-aDQPHGX;ml>D z7U7tfWZi^7qyiPQtWkTRdX5VY{&s|plTfBI?*PpCxv zjHzb&;XdZBC_ZGACINUKu{s><`rE3jTzlsGw)(I}hd5^YQE>xrO%y z#eC`JpVCbqtZ$VF3LlayS-38&UYnzzidS9=41^F-(`G26UuI)J$2qr_mO7^$Ze|k;mds)tfvKFDuvzEb=5Cw4|JfgS=sa7C&@L$@l2L`RgKDb^rXJ7YyOx;-o%J#S<`gy>ES! z?&5wzFjPwueE$Z7m>6v8tfb3xoYJdxXfDVoPUBTL`!qDpGMwk-lU%WzdU!mkCQ%5L znj}so-r!7GjiW2oY-%BHtHR6^vY;)iBr@9;-s@(m>RS!VSr8f$f8C37A z3B8Od%>@Bt%yC|O9xM3zh{`dWSx>c8+|+9Ul?4+CJ9xF#%%{rG2x7a)xM`z^&%>74 zy}8N&yyepQC>6Ah1Ue#{;YRN!4jHj)+oJusTCYFakd__n5(+w4#V^Xc)(MAXF=xwQ zMsq)ApfeF5U|Q86j`QO+ka$CE<^6K@p9?X=O7DId*paw+zMCP3)|FMvLg z5SycMw^nS@2`KiB9CsQ!hZWV!_vmE)Itw;MESc1LOAvvnMB^kQ=5imp-(I{cei!?* zmJh6S$Wz+_wdNs6+UfIyEUa7(q(2Gb&4q==yo_EK)}!RX%!4WD-K}$fbZOt6(RJU! zne5wAlCMbC8xXjDr53t0p06QkDjOQ)5ISATe(HmQ+B*75g%34PORZSKdK#@2nlG*o zT~FE| zm#oGB>=i)Ra>GB)J7WM&6Gcq5q_X490XoV;O@?m7jJ3}niJ1zvpOjR&T-vgp1vkKj zw>fa_z3_qT8(^?d1V?vOS-{I+qqQVzq}>F_=_A8tF_Oqflf2$(5W$Puc+{`{%@ojm ztLyeBwO3Nf{phk=_2faGfLp0~zX&syectTpg&#j7&?GmZ`##x_`74M2Clm44;UA!2 zERl(8&G6ER6T%54$$sKZhR!Bl4dP%WGS0Z&d>$&Dj-7 zzj>_`!cflA`M6M(ld?Cl%$P5En_iTn9j=uRSX#UnuRien+K|?z{=sq$P*f32_@eN0 z#d-mxv(E&-+YH@kwgi<794w#DL9veaNj`)@FLu@PW3!|O`VvRS7ozzM-;9B4k-t=7 zVM=U4d%vV2N9cfA!xmcu8wGm(WEBLvs3O}{v;FYPWr&oiEJg2q2;-3m@g5nqp%`iaLx4YJ8e zYU9~ z3QB60{*8r)<6vH4Jxu|x@#Lnji^r>sLs~SH9EP|3PVfZivCYW@S&|!uzxN4i zDe&v(HF6lP=d;71wpicOC%$H$x-jjdcxleOPkK zXInMkzC<49B5{Z_@&ZREITdCUDuP4fsyC;m1<<7hk7o?xy*j9pFb`>blPL&dc!kh0 zFt(KwkyrT_nKAQCC~EX^Il{hzj_#=4HYpS-f(*>APK!zC&{GOY6!-%cGG>=kmU~j} z`8f%JPFVZW4JnhyH%}o9bjj(;GQc!IPYX{j4p@gM4oWgbDNr_J04B4|pNK4uXZmlQ zgLx`aI9B1Xl3dBqwFr|82^pPQs0szh$cnqu!Nvk)HA4zAmNZgfD%z$l{C{N8!@u>i z@S}$x`V=C@2*p;pLD0S=O@jEEl59{YAXtduj9w2$G;CqNR#P(B@)mko8hy1U#~W13 zsD2#_EFpa8CwpXq8QyBq#cANu9I~qBbXl1=0i<4WrP})zJa1 znx|p*c4@E;E*`hkO+7zBsRn@b?{vs+|pn7Vcg`NvqH4(-KhP^wp(5TCG_ z^BiByT5$hwI8rw$Wj{I3e{8)GBbP$(-_XJ)Aa>~gd{u#${*R(t(hJD`M|&l#fvx_> z;k95D68^tgERy}7i|Ge&ADBdb}*}Gd9wz82ws$YFqQ$cONlz zRZOjut6qI?&>J?CX-6zAw|^Y9tegg=`48Dow_^TV(@ze6zNA@~;Y79f6Jyezil~j@5RY2-Emn51U8V z&*NSIo;zQAXZ1xpyrVXAw@U5+uP0yEhmV0@9v)IqJ&We*wxd+sO-A3OS4F)OU-SFQ zd(I=`XVWuRt8ZB?sNFoWyTct1ep_-3kS#wQZa{V;z(54#J)Fvp!mt zH=P!>GY{xx$ha$GFmD&IqPyt(xI<7;YHp$+YL3U=8n9$cXV(M2m-z~MWM2S@i%o2b z`Lw%>fVjM~@Nvw{iJ$i^hEWLg}H*QVz1)A+x=j%ZIOL7h)YA)0nxpYR|`^DH5 z`ctTSPs@G>>dLtToFTa$GH(f;yR%tnElXZjUPa_w66RPIi8&_V?62qnoLu#N?g`xssq0Nmhz5HvM$%cB~&$enOS| z$%3@}xWw{aAr>5JBmV87b`4)T&QV2I(@)2cbP6G3zorOPyer=O+J-ZMkX-lMS@~7k z8`Y8sUzua{UlAAUWIw-?Xx06%vkFRqOB3| ze>b!TmJipI`BoU27DL*^34E611py44G-b++89SPYK#8dLR$mtu z^e+@xpwGuMI3#38mM`wV%fCP0TDX=l(t+=b>ltk}l&_iuO`7f3Zk{RQo>FGY%D%(( z`P7X!$Z*Q{JO+)5N~T_&!|zay!j2^I6mfEbPgU>g@s&VL^YJY#9($-A_~&<7ps;iH z&%H~6cP|ei!T>JE4Nt0~RCDZ51cKe${>MScqU4OMOr>6z&rjQYFGBpngBk<()+z66 z8mHOsrJaQCPPc)v->w3lGZsl2y(RJ~#{_FF#~Qrz&f}G3S6u?s_tU>!zK*IYc5>d9 z*391gTlldeIPBJ2_zQI6&nu?Je|zq_5Ck+~b4oe5z9e0uEc#t)>^!`wGj5ugESJC6 z|Dj|^6B^-r+Fa-YwdS6t(WL-f1o(t8h#{y@yBdcww2tFt`G zgI$3M&JHig@hG>?Y%@6sh|09eX%-nCnZV4ke#X7O#AVICq%2{q()D{UUSE;!a{9_U zPcI&o6CReuMZ2hMZ~odn!ZG(2Um6O8`DNNK&M1S6Ys%Yvp7C}uqroHR>*nqKc76pp zjrs9-mV~uS9kgQe58QY9GVHsd*~;!#6t!))ez|6HStv+txcNXnUhLH9&k*p=dp*lo z?r_i-32&^fx+yC9`udL4H(2}fW)~M1hlGS+>bVORDYc<~+tRHz!v7Om?Jd6$a3UfJ zghW7gG_}j0I9f7b3)&AeETP1Rbj|SE6enugKg?z21i@_E;XS;j7r=x@2|aJ})6J~y zy_vW~>7p_}H=_{EWCf5&9LDoWaIL5KKa@@cz^pl~pFZ1e_;ElWHiP&I_`N-b3u^qn z&$2s>^$W#ANW)kf5902W32=9Kqxm)N%eqW)LV0{Reh$@@QIUh?Uy3vtcpebo)j1|{ zCX3iznI$IT{mjHD{eon?9;!}?W6ndu`sejEl#}no#6^M%zWArQ8vm)aP=n5+VSi)! zSkQ=h2lUrg4h^bYOUzxKgu@m&`LmxMQ@f##lUS^)(oL7rI!cBokSuddBlS+Vn33;f zuvpDQv)wq*uhQ|!NdCUQV9Z$I;sxZE*UAl0_)h|)Rh`l(v)zx8+f*WgVl`SX>Z6x* zH@N)p0!CGM`LkXU6@-WmFitqMG@ExvTEsqp17y!Rp9eG*48K`) z!rUc(f0^(>MHtbEg7V!~F!C*k>KxF(J4<%0AGbpg{wF8mIkn}B zr!*2+UJ+zH-x|mMMk$Lwcapnso>|i6(^J$_)l@XDUw|U+hsu*+NO^S+6%*xSF`PeC zEZ_lHY_y1D5)u*uL=Xf2{o0MF*S_+IAf|X94}$ZxzJquQsJk7F2A*AUS?^t;CWzQX z=hz&MZP0It9fc?$(|74csoC%?}CkWtVo5796K2()hY6aI4OUqcAjse^SPz$ zFmRCt9tc}lPt-dVu6_ng(Ko1(#VH~R|hZR)b8WmMzzdYP2dBO;W zXeJl8u>y3ULLjgq8Cq({9qJRejdB%3fBh&$%l!eig@#fJ`zsb*cUX)q2-jk#TiKvB z*cYJ3LJe(nkZdBzg+vTsLHdjvz_56eQmb5cbY$>KkAmA4=Uy+r$R0$*;UcS{)9WtgXAfoV`1fN z$`!1*NlMzSRLcrzU=gFV9eg@}BFmz9x+D1ApEZ&_p9UhTdpA7ow&9ilsxp7B8xZAv zP7ZRamr3LdFz!g16)2gOe`UJWP4eVTXcQ%GJ$$KaBig=u-|aUXy%#=K3yZ^_t#{`j zvD<3b87%yj)u(%>(UvbpB*Y?~*8SQpr8X|<@|q=ke!3xge0+dDTlbL}c}8AZiLLr} z>TAE6;LmtCEzMKb|NhiyTlUrd&6G2kO`4r~NlUN$a?Z13_uKKkKgl?Q&)4HUK{zpl$Jm{DIYmQf21 zL)N?RMEKMWDExn-_PH~k&81upn111F}F#ux+k;$<&UxchN%Drg^n1@D^yf(5Re5( zICR=hxD&UrYP(2|W6^VG5?of&86RXv&+f-iN?U6)YlN@dZZ|Du?dlcWD2f6arc&z_ z7kkE?+qhW{{9i#L;s-sxXM^@9u{sC-20|pAAH8nfX2-8}UD_>`+AWqh+|gB2iIjZa z&SG8{-?Xw3iGA`flD9~PPb-XDRHbC=@R|3Ek@Dp1`WUV$yI{3xK|h-pTn=V8PiHv zmJoM-41_!>YlWfv)zxMCED<^A^0q zoEsj>ZStV^geEuwBz4ThyNWVO40o=ztmJ?Zfd z`#z1~`lyC9oH*NsfqXm?a4}6!7}~YF?zDZNVH;7irT=09ExcuHuOwe?C z`^+;g@>G4H*6(?DKanT{(%b)6Z1qLd_xt)+BXj+Nz);FqTr^0dV`qNPQ#>ei67|sx zzG<4;mgQ~~7|h3t+^3@u029F{Z^UN*X2-0ZrxgYYquO&Ztd-Eh&zuvvc|$)OKoN}Mu0VZ=FXW|AQy3IYpPpojz|7Ex02 z&)$osp!5wPXLN*x(V*qLoV!}1{Hy$_l=Nqg!v*l zZ~@1Vhe$)!q#wrUxmr5w<|f%z*3jNF*0sFUJ}9;S0l^+W={Fd%~yl!#x*C=5!t zZPZ|hgNy>}*DXWx!Gx&8!c8;X;v{jvM?m9A1TX|F|Ep8qkkHVSH#VaE!{wto$2-Np z$OJ5B$_JETgGMvAPy=&K-JBd=npW6EqQ5tD!--@8)~A{R7uG&K{mu3)s4lvq5hsowDiKL+LQp45a{uh|W5wtOf+2;GIq?zP5{3m$oc1y0TK7V? z2D}bt5&TVAxR&xAVN#vwzf~PFhut9r+}o0B1K4RlKrJ2BTAh>l#=S@qlRvt z)i=wQ#=BJaA2@&oh00KXsfUg46;*;xZEV|qb#)fY>@rdMiHAPw8K ztX+ZNC4>j{+6XxaYKVrcu21Io)H*4Ujq0c3guFzcHU4EoZ5mRyTl9AAd@bP>Z)W(J z|Ng?|9%<%etI!N-`d7lt%ByUar1?2GApf8G^QI4M5pOQwuR#Nz9(2%}Wn`89L^WYl zgn2YfliBs78|y@UOfCG|q;!5wq~6Wi#C&J>Hf;EFwEqB;(Hvb(Wi^WfZv;rKL)V@S z{(3=}3~k$$XX*y%f0EN@O)ry-yGCkB31u8?$JX{@VYT&gN;1amk_VkIG1c=#Fwb>7 zQ~EsA*9DnjQ{R>=eo6Uz)D^RdO9`=Y(ikMWYH(u-lF?z7+~s&>Cnl7|$B>6F;To@(T=RCm4&jXUmK6r9@V z8uPE>GXC-&jZqt=$_0Ten3wnl_N^j%w5Tunzjd(eCwA`nu}7Mq&blQ3E2b-SFh>$C%4*6`%g z4Vev$@7z-R3~Dv2_yhw$K>lymzFBdDB4weFKWMBFsqAhYU6q}kh0SS(0a=I72O&L? z3nHGMWH&i`kNjR&<7*4zGuabdJ`4xLQ`TgHFb;TF+5*uL55+HMd3REEw zVxq8N{P%oWJ>m&i>Gf$cG5qzduSCFN7mK|GfIk>oB_drC@AXsb^4cMtH2B@bj@P-Dl!bA*@XF>;H!z=r06mg|;H z0Le2tjL0p=FM@9pS*M;zBs`jzSr9MH@4K6vthSE%ugOq9C~eE@xtkmxOM7%Lz~007 z_k^RCpTnN`j$a4w%Hei5;rS)(L{5~X#N}qgPo}Zw7h|@Fum0=f_qI`O1+H7qT!FQg zlhZxy#r?gjojHMm@NYJKWji}{!cgtOR_`6J>3APFtJbH{7rh?e_13wx^YvnxEURrHg0GPwDL`#5c?Z>ZXj6P|DM%#;tyh zXsU=gG*u<7=7+<770KZ)`$q6wNi__f8--tQtg@eeDLgMnF7u-7vTv&JqD7`=tSe63 zh@TEXz5&DnMk@wAPUt&+$2GHL5M%F75;cvfsjaW4VPVWSlWY1k`Ecy)5G5M}{9qTg z8Ei}Jo+TYN9#Q7YjBjq&^ADacZm^AYWqJj3J06`Ej25lFhpXA}qYM0a8Xp6kGj7Ra zzL8m0ZOL^#Lj?gBbSHj}5KJbvCMf04I_dp;z^vS_Jn=Q4lt?Q2HV zO^)rdIRR7cK5y4|iOi=?oRi)j6VYbU)|t;d))kE3Tn9(G#^t6TjloZ0- zPk;~uU>(14;mkk*cM2LB>0cgT$D}Ffbtn(L1w0xV4gM>5e{;!2qhMJ_VM|4$fT7Zk z#(Ht}a(cerDTN4_^k11%lMaKaqX{dTNUub~HAg$k7Zgz|!6tp4z9u@|m)!eY`i4HW z$6#+CJ6umsl(GQ|Su!raL-qAWoQ#Ai3TVy2{$VuU6P}RE*P>t7-r)opHW=*1gsjZ# zBZZAqC2c&5Iw8dEbd#B0D7Yhs;xPxAO9n^Qqe*yw`y1C`R(45~z3xxRP3oZk}#2$otN7eOdi}*!F_3}b;dwl$QI)w5>sx*%*JE#3JZkAsI^Xo=0 z)^Z*_12b~|Mr`wbU)!6MW7$(r6+0Bt&Gmvl)*?0EEoYUKfdNK2Jq?S2(w&bU>XfUS z4AA?p5YSq`W`Mvq=V-f|W`(VrMwMIWz;)rI8LEXRT|`R$e1vDNszBf-pWubdtc`D1 zcWZT9p`5Nxg&jn{DL}&pp<=+YdDYt5+8^qUk9pXvxRS!|2n;kNWkGPER3pOZ#q#MV ziT_dOR)3_}@^_t$q+P~|o{z`Aux+0R4>9{Mvi_B28X?U17`ilM8)9lU-hO@K zP5+1lxBedwND2tgQ(7lC9}cg+c6=VUn^liL;g+c9lxjITJ!c(DUJSZZv^`D@dVWVT z&H{lwLR12_oU8~(Iz6i4MoYLW$5!^!KSo7b+w|NzO07Q?G1QY@ZFv+Mzb3H^%sinA95DG-$RWaOe$ zNw!5RmuT3_?v~=ypp+Ll=K-MkGx;w5Br>w=o zaZ!Gg#QUY)jjYBi-SxTG%$pluun3*q$H@SrRzKzCVS7xU=cRPr6V@8ka37LES@RxU zT{`#|Whu!?J?|r##ciJ^;4RX@;&a#?!Z`{N z82jq4_X_k0HT(6pgTo~jnpWk^#^c8p+;Mmh*ks?Ah4ZEj7~5?<=DqrIWuER=n}If5 zPG=V9xu9lZDd?9)!E!$9o#!Lz7TwWq)nsj<=8JRfM$7BZqn)2tUu|E~f(Xfb+q4m; zu(RbpV;siVaoQCe20T9Zi_O4Tj2k}B=X_Fcfhf+vlbR2wJI;x2-JSy%NA9B}yh6U$ zHOLEjy)NIULDxoIg@wOexN4I>W(U_8|1T(GhaC7eC^1 zFv3JVX@tJ(3ht~a%^T41V64RHeoE}Gwx)MDxEPaKUm^ym!U{oN$Ls@aD`(QkX+wRh z_-Lu7PAlvV<-$uVD;YAIY4Ak4ROV!wcybYDni*?wE|Er9^87t{pdXTcC)7pY8O^)~ zJYxnZnd30n+y#C@k;FuB@CXuTSVraSDFj^_C=W}=_74|+S=GYZu1vR8)Xx|7QzQQ04J##NVa217b(*!YZ}qu zCIv!LC`L2K&a@m^-HCv^&9et_IZRH)wi90+hf1-a$U>zu5h}F5Q-r}qMn<&J z4aRj`=_p&)XfRMf0@0ubQJBZeYiu?=i^dOk)od<{G|d^Ze)}}h+5eo+U=oxiA{i-> zRkpW&u1>*35>Zh}NM=}9+ifN8s5sgU^eZ1xQ9s zURP9gvsuH1J@)yW6DRcfJcF#5W@E#;l9P0wdG2$P{AxcqO4sg?3;TG9O&$lk1|WS? zf)y5(b5R=aSuz#Xvle0N&@9`H< zT2XNDbQEhVcwanbJh>XJktvF^X448b(HyI4zYlvd9#9AG+3k-OF=&J`09`jJd~|hj!|2L25ySXP@47Ic z?l1-y8jNDBDn=cU5Uy95uD9qFn?f z$^?vc>#*BUR#w*5rdg$i3kQLOODRER)v{)TW?h1X6uV_t(%N#&ZMQYr{E(vfsYg&t zv4&-sP0uIMw{6@o2Y1Ex2ixT}=x8F@r{S{VY=69dy*^4|N)9rP)$1tURd`-Jzajrp zWV5T(`iz>N4(6JD$!(z#m)p>w5RW(L7mTIb9Tpd|TT4D(bij@Y+wWFyh|Uyn(n^hC zflE3mZwKk^iJGv1q^|;j!2~*FAnAQ#^}SdK%ZdJqlwZ4d76h1_j&=>vpx^YCikjWoRA{D(WSz zGk3se`>QxMCwciOI5@}e+v=pf%37*`;OBN?N!m|`ySS^=Wh7!UIZ0AM7X(Fy223cR zOAs${8E_nr&hPthB>i<qEJS;fpr!Ou-5JE%D^ceU>tM)k2Q zYZr?u6LD!kac~cf6c3V&V!{5RXJyzB2}T#5dq2pL(8E>x(z{109*ei0Q|B#Z6ea|O zutb2dC3uFK{5G|LsYFPKC4fZ51;RA9U+;TTkNhp*-{6%XjEsIs$V}&T58UB5qpmwl&e``Wo|~|f`C&;N^RsnNBMs&ePbr3ykB=n!kj^b_T)r4e6!|)- zTh1=VqGih~8ee!0?FQkzsJZRe_g!DsZ*PAu2OBsG#giKIw$7~(M}==6)cN;Euqw<) zp&NfLA*x4v)>q<6qnT;=$QAv?ITIsKFeAt@MI9#&15ytC?e{Hn!s+a{bL$)iZMRw( zy^Yl{2X%@q*HolYeOM+-l=uzLw^yz=fPwaunVhHw#wbc{W+9c&;I+r-v7tCKim?B+ ze^-0^`FeSI#JE&0Z|X&vn>QzTUw{JeAL!3oO*(-rs*pOKETg(sT`L?UmU4EB_*KgY zMt;vz9iN9Qf*TW!f;otGdia}-ai|@(5&gxQn2x}ZCZEgu>HKU_1mKhwr-*uUtpPzxBpP8Ti!Lcpyw}%J7 zVMQ7SRLY6YpBlP}^X9!=(uT(0rdcU0l|CS0e~bDS(Rxk)@Wal)L(xGLceke6&2BRY zD=^X3-n>Sp3;Oy!dFnAe*GDNZ$a*_z4nKWrzrDSQ4v}lCccNOaw^}{xTr8Z}ZPAR* z{6i9x%ATN(#Yj_XoKZ*sO`IrJMgl|pak;5y3kL%JNtq0L| ze}6x!xLfC{_TTURIcN0<{l}fx?*(e*?ayZu=#waZ>KQNZSYUteFjW~Bz<>hwPIeZ^Da+|SnOEK{p!RO~o zIaxQ61HuV~lCsvPPL+@YI}&VBftG(@edT3Z%8*pBj^9 zFgAY>4i7`%|B9q1&Zm)SkFaX+et{X67-yJGZg_V!4x6xP)2Rclxmzti==0)mZ3Tb8 zvtR4_&rmT!w(9lP+rL?is;egXyz*Rh{#8d2x*oL|&2+dFgHD~DYm$?#N?hdV);b-Q zmg>`c>-Z}@Kh_G}{XketkJfhl{L+ug|^Xe1VxZ zg|#EY!ixCgIhfwuk9>hJWlBj3fBpGtXPH>Wn_pzd6{rkL+SeDqAQ){$hI)1VIR@@% z&4VJNBl+|>py}DEui>iMHUc)Q9+T9zn56A(@CoIr)2vxh$(|ftwsJL?>XX=`h0S^;DO+4{SbAo+dN|4tVz?wYQ1>H(c%eX5cn9C2*W@us3qs~ zK9*AN9L@!gO(wUtZ|*J`#nw*FENjY$#gdk$CaXgOn{Zzo=DInFmn2@vOSba$vEckf ztfbr|jEQ60v+{Pa?u!#yS+Q^F^0J-!YXPbZVCg96quZ1)XQJQbOWT6iGqS7pOh_`p zwPUfQsq97Z;Z6?7kIfk*1yxX@LLmc$!;_h!RZL8^xg9A9PLLAWs}uT9FvQ_Ol8nww z*jm`QZI|hS{Zsj44!1_@a9qayo8hh9E@yfW(x=`cS2Qx5RbowA_zoESPQ zK&v*IcQ;@$oriSIyI-DD5+FZR)i1!Yq7#BWJepk9P$P$3!r+uW7oxgzcZb_p-*N%Y z*v_Khld{0!XgYcgw|}>LpT&I#@~Ob?JBYyspW&_3ajkfHljI`ml?}GVG6LEi{$PWe zjFm26oK1Q)4H_m0`I87G;!|^uD%xFwO(iyKRzgI}Re%!ck`kRh!HEVS_XC54oAzN* z7@X$#YFIWo0Os12zj*)4T8;!QXMCTg4vuzYa97FOY&U{ZfhQ0MF&Zzz>QaHMu|b%0 z1b8m%5M^`t=(f7u1RRV~?q+;2pWi2ZKnl-T)w+q5XjtVBVRAh;c&f6X@F%#6a6{-aPPO_`Ox;W!1r98*g6Otyzj0 z4`8Sn0W)~_^+MYhY?F3xs}nxvIc-vnJx`IvUSnpa=4S4mc~o!K?Aj=SN9yL`D3X=3 zDmjrSW)VA&29hrI2_-Nb>wlqiCHV2xTW5_;q|4$UqGz$$M_iep`(_4VDigbDFYSD& zu-=BE(|Qt{Nqs%!7}E`f&v35S3bDqJA=sp@(hUue#tSFrg>VDt%aO#t!F$^t6|9>o zhX9}~d7fx6W*^$9|3V#^C@?%2%sRh06HDT7e5a*sKfiifSV@JtU7;RO=wmg$-kFQL zz>Y96Rokb|@$i)iONAof3pmQ?xK!2$P(UL=LP#Pl>@pZxKMZ0vti#C7T;Rvg0-iVj zl;w1?&N3QqYBO4LbhFhNC!{_H{bRB&J42{$s|tQxJYF0F)#k_+n9J%``UP5S52an9~dzTH^h3yt|FaI91Nl z9QD~hcp7u{F6w({vO>%X0Q+6H?_o3X5bFXXNzl_1b^ZMuX}h`IdMaQT2m>;8EEfyv z2{P%+(JNp3eRT5SDr2>A@)V_Iw(3mEtKhL2cjx0ajk{(0dhXv^o0(xf42TLl|9aKt zXB(#6qXHl^sIwC}dkc#QoHS>^H}lsY0tb9T2s9LB#<<~kG|OIqaRhi6*RA!A*suVb zf|#MMG-wvC59={Gu=>E6wr$%jW4}?C_gOWt7-VP%GGW8@4uc5#cY!-ZGXeW{8;irS zUsN?x5l}!G%aeQ*q4sCs36gS3n4Weqq0Pm5G?2}KA^!CF4YIxYC4I32{@oke`pOFt0IyE=z0XeNY$=23U^fWe)89<7$G9bp*r}u}AsaGp16XbXo72u= zoW?V3j=c1AdpqlWw--5B&>T~(CL*P9>91W`XTQnv9Qimvt8W!v{~u-N*xyOib?Ml) zZKq?~ww-kB{9`8_+qT)UZ9D1Mww+AwduHCut7kqz)u~gzQ?>VA*IMo}+HjZ_y3;Fx<&7sh70BBjHER#j9OPpX#z(?x96Czj zq8M5KwhZ7P+-&C_jhp7Ar8-rG=T+pTgZg=|W#N!y2C8vFRf}OB6Xw+`n;A5!EpTU+ zO1gH%jo6r;HRD5tNuH5e0{w|f3P9k)pMEgU1TvLSG)Ng3%;>dDFn*W_ zgJ3Cvr5VMO#0!$46w0a&j&yT zQh)abkSo;6w>Ms$5?$w>qyJF(nGTjHFT3Tc9-f{RxVW+(L0CXFm6%Wg{$3OWA#+e5 zzw<;s!X>&cyyz+})qY1$L6>A3jw6_kO&k0@F6t%wh!H}|{8wUYwbl%%)XVgfO(eKk z!#^+xr*itIqM(4s<9cwzkH7!vVf_e9dJ`i<@Ojly8021v@}tHWNQ;8t5d#+$Yuae| zDVG=&1?}+EGpB6oH0e_)5-%OH(M943P$I*A;ZFXZ-n44s%H9xze}+NvuV0sK7kJ-b zwV?c=TSmWOS}w`_H5r}}p#HLQtouVXY05C@rcQwjAsdf2C?%8z&W+Tr);Fp~zJDrU zgo~0WB#-yiXK-KjrOnF!*ZjeL$clA2DajBFI9Rk?b<3w2y9|Mmw<_BxHHD{vP8j!D zzi9pH8PWpxZvo2BI_HNmJ`z1!M5 zH%N){R6IT3T`x_4eSz}}YU?7x?x$Y(8wNvw7eD{h<5^WK=^EABBpOuY%3oZRcDYd0 z$uT*1X^M1TO^shHH#m?#z|U(g(22CHtgKY{yNBtsm|%i%!T|x%V7Nis^q2LX~}=jH#WgtFf_v?yl(l zhqMB_GdI*?A6%g{U2|b||7hH-<<-#F`QMvK^bIAL*bfRS&Y&Cc7M1m{)p~)@>-E1( z6%isB+<>=)oUo6Cyv@I+F+--->>uFo=NYdnQK9)}QAB6_`|F%2U+T;w^53hp?4O>V za=bCf1s?ww#=48`t*??v{J*RH|6sQM=d1mHv9JE^A}Oi}<9~#nS1FMwQ{v+>3dbguK1&r`x{mWTgJIz?8wwt1$0N8$;27I3^W*^5$QDp8dB@?d`d zPpqn=d%s2h7;+ZcPj9}Q(XKnQsvo%xf^nw~Y1dyirE=(3uycYxpQ2yQ8-kIXF9n^i zcz51Z3EhPvwk-)SAasoFy?7nx2_E6wOx3KI*YM=5?;w4wSPeL+FSwkJqz|N;`j0`1 z>(IMG4t;>*r~fGGBZpoa(v-l^67U}i{T60#ci5mOgQycPKN3PI;jTAJ?R&QPIs{(F zjwPg}2A8YAUFWaUsT>wyFyQQPqW|o1T*HSMMa?NTURJlbZYk;@PZ}+z%c@g4d}$)%vgb&^yc=^~*3i}1Z6~%ag28{+U@f3ma z#bUpP<&a(ZhKT2vbX>Cz=Ci%`^#baYaQ`Kgpj14$^>%x7q`Drv)SvGHh#Nr(E;RFM zIdGlr><4kB{=x?S52rrN$ex^J6g0(T4>=+-@Sg!<#2`wYCLP*M7ub+PAXSK_ZAP ze?&JT4Jn9T{4`p~mV2NWWL1pO)XMz8!h)6_=6pI%kxA9rEPM|p08XBRn zl>R-}j`dVpf>en(9gP8p76pdtb&1Jj%3L%~i!Ed#lz?ByXJKyOht3)UM>I0MI5e~_ z7%;NttzV#D$WW3GH8wXsW7+UEZ0(>ey(=vLM*i#s`YVHa9CQVlxT*1k0X2I6B~*o3 zsqM43BiyFd?XV*R@>6tMBPJx@E@@2x-Pt?TK@iAiUW)LnQ7x516g>KS` zUK_W9MaW>Ig0FGgL~vQTy)heWl3IZ{ogycy+%%JIxambOiwoBo}5*(<>NWv#OqpK+2ol^yo~)cp(Qe60&O@^rzLh zO7pjO@ix_s-F0;+-nAm^O=pCc_2;gFuvLo&f8dyv*uaKFE@1Bx*QtfTz+Z{mTs<7v zRFSTZf_%Mlo?WB|9KLV)o;hr+*G^q5s7s+x)zH#&uLybiAkc4(`Zzkw(}LQoO6ZdLH8Q#(Mk_jrM*# zpPQ?q^s&2LuT1YF4v)nG7!H1Fo!iylM;_(HiScQ-dC#9{Q5@u`*uTNfjY7KC zd%0Sp#Yya&yAO{ojlMV-6>tR1)EOgAl*`)Q_+xG(a6O$WU`~ioct1%J8p!MxXNN}e zRhP0vILeY;Ki|!lTMG3JK7Lkm*!}bD#z8~~CwP2I=p$Y#O||bY6vI{6EE}U1FQY=u-Ba}xnwAeE|CoBlX< zS9r`i-WUquO8B*Can5mF-BH}Yjc;UP&d*b1k4xA;M#O1b(UWs~`FxZgDNZKSrFtl_ zwW@TPy5{Jl6N|fk?9*5b_lz_S*;Vgt#j)E2us%n39P@b}8lMC_iltj4j8X7ylL~@y zgA%hEYytji(?(@7vG=9{?$(o2LNQ|GQ*;=8>tgX2DOKI?`%7}W1qv~cjnfrUM~*vZ zC0tI|>C+H9XloyqUwP?@^k(W<1kNa@csb4A&evsgcJ-l6^u4^@xB25qDEC-b3|3#S z8VCu7g%d!GDZQV*6brn+mjUYxs{6$Gb%nnfu?g%-kwU=l`STCYx(6OH;36aXpRboG zJ*zg|BkJZ%YcYE=HfR~je4n4HGrReeNflpS0Ui&VrNZMF0vzX|IFsA79uKgj>+hcE z_E{aZ`fw1uTI-<#8GPzZZbz9-b(V%$ZaEzUkFRHS(p}M&erPSGzHELbM@vqmA?^}x zcy|Z5`~6liH5)M$RLfV~wY8SU+)+N38)4ltJwB-{JEgKUtXkG*>Y`IhQ z35*uruEp32&~LcLlkpuU$bWX=twnX+Ua}0JVW#*3K1w(*caKL(A}-~@@@)^zkL3J3 zg|w)uA! z!$|v#Hj>}x$bRXxmU2$UFH85V-O&Vk!6AAjL14|lTYHJg3C$(TKgbGLqnK^GF@ z;>iUz2iGH5zn0e?FS+EhaFX**ww#@{7i!d)INHlz&bC@_t)DwhCH=X2yyzc+9AP)T zqNidFmiH!+vtv%HoT>TO&--8zi)BE4Wfd3J&S3t1d~Hh&5meOmWOFZKQ0?uZZ9ee_ zfa|O2T(7)?iNx;tG@s9W<~+f%LwvA2(IQ=omLH!D5##d}y%iCdHL)BgpAcA^ll>`@ zE>vR0V{>-Hyg+bD)U+zMT){HoS};Ixxj9{Ec#pXf-3J|%Ba^%_+3}ZeFTt%X1|1ww>-M+rT?rehAg%zQ-KE_qj7}AKo%b@DErfC>?67Io|pU4HkbSB zQ{xSM^pBxv{ZaMzPGs#fp0#2Lg5)*x?IWg1L$|N_lvvZ;$;HzRPhu!yn7RWczcy^k zwoi=AexkVoj(NlCS0_clYGlcDFBG%|MAN^TBUTleCLzxdgQ1y~116sS9s6%fv> zH{biVZ1N3^V;hTzlJWM{=`~A*^xR)n;|pw`pQxqALn2cb)ur(^`Gq53GVHG`!%j1Q zw{u=wq>G-S5@H^Q{)-87awzth~cn@5y+FOV~fWK=pc)x2XY;LZT zLgtS?o+0L^QHZ>}h`;8*0DlNmS=8V1Tqb(BMQGgSRIfpyriT^q>F zQXdfymJl2EJcX&0f-bk4-0E?i^7vIPQ6{`=(%xLmN!DcYw}p%HJY<zntE>s*=CSF~8=1l)bwjXO6-6h4(QE^4$W_)Vak*H|-9Hy@Kcib@ThrmyCEWb|>T>Nl?C;g%y8UPbT#Vmj#vdQ5ivHnp`p6_geAsclNhbCjY7_~zgC3^4LA9BKr-z8?`= zh2~ah48PFSLJ>~$%Gwg*qai3MT~5~MnH3Moi>mhW(iD4uymk>}9(s4$?~iROfS8)h zDsWUm#mOW3{J{m4hO3t6l@Y(gH2)0PEM*mmyf=&-`@AIblMxe9Q3Nuy>WX3UcACt> z@*L9%P+rV2%n68E(pJIB63!Ac>q;?E$uF%OnbK`uoUqk&AyUWTDA2#w;H92Dnw2)& zw@<2(r`ciG*we$FZjsJ9SnUQRvPIVqw!Zks<@p<;``BJ1n~Mha__>e)Xd4oksJjZ% z5(*<3GI=P5g25%ISTXIP2ll(NxXxp*dNlo;6r}GEVIE?kP_|oz5U>MHYdGC2q8`uF zQ!wzW&>W5z6qkR~bhsbj5G>c&jwAt<6qFU@3CC?6+b!28ncDF24 zq=r9DxVS9BVk%Ig*iT;~R3mqh3JViqu;21|bqFf5k9a+hfR(SegoYLxUt_^`mrRl{ zd0ovcjTHS9rtG=X6z$PB8u=Q`c5W)A5}^TC`TQ(iIM62R5F5 z8c;Ide7w*Fpc3Tpm)VAm|De6M$j-{L%0+Gqhcb;x578$l^dHyeZr|em0k1_gZ-R)4 z>ZR5Eub+MSq}3Yi7{B-oJU!P=7^E|r)l#y*Tz$q~0Wvgn1=9-M`~@mS(*4zkq)*U`rmQ z^^tZ|BYZhnwvfn7t>os%YapgOvsp#FWglx;HY95Blxp9kqECQzzCpKKP)6e`_xe}>_ zRU$#5(=RWp-IK`+xB_0@L*;kR{-&It?R@VKtq8a6eB0h`d!do$v^)zs%USndhVFsF z1%gpL>^XO6Vj(n&h}$=f)XtRemYnMcn>v6q{ZqaUitNJ*@UZNiYT3--BjgPdPTMRLCqf7%sS#88hI^q$?S^G6fK$8)MQWa5h%WX zk;6bNfQw#!eDS5l=IZ{+InOMW@vVG1ugBXC>&WS+fUWPBVe@11+|QQ4%>3o$dXLw? zrP(-haJtkddV)G-^_F~Kb9&Q>eMFe{_A~0@v)hY8%sG?O8~=B+nm8e!0!T-r1<;3? zY`|bK^fHMS+*t7(EtJo>TGosNXpCpFVF2tbm12x%VHXI_(aW|PR)}vSan}S)-S$sT z_azV`R5UZsBBCA1S01SB$TO$SbgNHg7ixwwa|2*H6P5SIFXc;@rRu={m{GqETTjK`Rp> zA#qC6ewc8}W%G=6Nc~iiUtHjCzgNp`!9zytV)@?P?HMCn%dDq;x(Gx9-@Bh|dXRd? z<1mj>*|&Ie&8yezZ*1bHhM!txMD%u&YTP^!yNS!JFRertlefEl03*!;>*oo zp%bOD52L&CD!Sk`7Q~eSgfDPR;WO6KuYDfH*~=e~NaLkVbxhsN&B$Gb>vc7rze{B@ zutp`?z3!6z>arL1FGXSO@Mf(n8brE>BaWxplkmRLF1Ei%=e=us zrhHGMM+3*9l;I(t%jPC`Bd1b1!)<8SVyNGK7H8A)b0Aj&3Km3IQ*m0XCHQAV_C3#95jyb`;6t(D3$%ny+Nb(GdpViQku6Mim z*LH0&3~z1|SUZ{Iw>ihbOGlz@$*g6A5SmzW(fk&O`VRA#c@qVc7frt6FwiN_gAP6- zH$Mvj(yWEM(`%T5g8W_C6-F$1YZpq`Vo{F&sJ?1}(#>|;m9uu3%yMBE(J@;^T=PdF zhyy+k6Z-^axr#3yq;}0Z7<+{sx)T*0Wr?e1?vS#SME!}5d|O9=utrP7TOopL52xbs z5V#r>p@oKqd{cOxoZLeNLHGza0m+rQHtx5r5vdo2hdXRG z8gasSQa;guCm>r`$KhhOPp_ldlr=25B2JK*Jp3u*dYh#mIz%e8pH@~dtWCxW2#pGo zha^SR1#3}3JJZqhJA>``fhOuEA^#%*c7mx$7Hic}^KSiNkD7cIk+m3056cg zB?7`95V1or5;YB#+B4*othllQJmEG22i?))PT`39jw*o0l^}Rzpy}r{+oLz(<$b+w(qq_JIKYFGuS*KH9z0_}A@5#!(?4!*BT!&Ti<9ES@nFw$wMB_7nw&9xbrrR9m^i0kqbS^Ciom-5W}Df z2k*|oIf|Wr)yrj}G}6@Ol{K;hqqHg0CDH^6iJ(b`g*Dd^$PXKpilC_QX6Ga)CpSYm zc66TxBn1trDvdF(L`X+N$j$b$hbuADj87Y;l`4=;7-|E8TFM{1hi|jjTkz@U73*6y z6>u~nOhQUWn5b;c4l9V1%WO{3ekdjsq0cp5*7=zXpRdtpfh@YZG|_y0s{IVahF1S@ zdBQ@jg<0Ld=c?KNWS``om@Twa=1+2%(Z>IgJK`J5WXd*0H*rp9BkjQi@MJreHsxVi z&FfeeH(cI4K;a%X20`?K2%JGQkqp(!NkcO2>Ip882=+?qNJ7(OpE#`Pbr{m)=B?cry5w{uTDWRqPgpvOnYI10 zp8?O)eEqNK-q=VkUA|<2%@Oo;^g$EG$c7b5QYxNuJQ)Ji6GH<>cd=z1L_-?Rp)@OL zuA<4>M7(%ppYz0F&b9!T&c#wK9cW-PM+X^EInlq4&ZsJU?LJgKiG!0Vi)T|}UOY%> z(*k4(E(Gg}uneC7cv!lSC&ttEFkpWq29L|zeWshQ0V3oN7*msY!`J~)D@%#tp0MY* zN=X;j#Qw!JzIp9R26Yk-^ht&T)>!j1%V3Fd)_s3ii6IDjypMw5UfM-;Uby6mnw z4E44c;GsH{l19IY=fOy)Pp(=lpTQ#p0FBnF**__d2GsKOy;KFTGd|r!;C^nMb-nl2 z5pt(EI`z@+uR;2cp>jf`YNWc^<(X*VVpUU9k|?_aavJRxmM;f})>C>o9@*l=3=IIGNnw zJnyr=AVtG(5fWrZ-?DHu7*03Jhb|lfxgB%$T|wW-L6srxjKfE_Oy_aopkH zBoMDQxb3}liouSZ04qXH{#iC8LfOk4G~rW=Ol=cq01Vtvu;gMA;#O?c6qomn zMa){vCcuLvm*NDC4#pU?3!(RBbq5wnNHR;U5&w2CunF>)U=NRz%XtY~tSbr(*oNZp zuOi_PTXEu==}0_Da4Fipr-UGtjmWauMfI)Ufpr;a{oGfG>Df_9v3UwL7?xl z@OgIDTMY#sIZr-|P>`tB1sxCnBv|qS|1Cy_t;YS?InvNr0-o->i55ul3>(AJiNbTF z7mEIRLr*J5PNoDhV@NvPj^ht!+lXg|?2jYNN(D4%$(1)mD#aAbb#p79$7;FvSg^l= zcKE2+IIU+DnX-nXKuzT=(tlbtsp`3?anr7yQf~utjDeHSYK)kafk9!w=F%-dTwKP% zd}kXa$y}_exT90fPpbwBILZ&4{;qHOwPiW^T|wZ1TYRwgw^ro10i#yLX(Gza)h)0Y zSJHKrY9eWRok};*cRME)KlDmC+mTOx{ocHdGC3D;HT94DT4kLsO;^5C|oT)&&x|3T4BWN z($vt<(9_s1Yhi{ehr)eb?VqK`ZMod^s$PXIij57;>?b0T8mi7jKSb9yRCC0j?Ex(k z1RcP-MZ7fJ@HjAhYO7pgTS`V(dwkTUCB%8akAgYjC!;F7S^Hy>4gj#JHF?q&Kg86m zwq6=a2}xZx`B2{PgZj)Ho3u$$p9j4C?Pei0CWT^@)a>!n6w^2Hs{VGW!f#H%07Jm=*D3@&_2NzqJ$)15k z<>ybVLFhM62PX$3!xS2-AMgLDpI5Gao!&$?{0fI6Kg{bMmmV^zn|4M|FMZ0jrka_U zX!lg%DaWLuBF5Z6Ppmu+h;V7U0S5_z++Q7lM5x-{qKd@k_4#~HTtBOx>a~jVP{q_p z!bE4+$<{aWbtDFEUb{=EKR2J*1fvM2rOKU3VIK{WAs@RGNk)si?6+R2H8Y^yWsRr3 zOG$xAD5Xl5rpEk}n!}l-rlPMD@AYI;GiPB#4Zt9EfJjxxI8;?jUQs>H=E_`HECS|v zb>9eg?6C~BpWzqMf`6ra&MvQowC$+Tx8J|px5CJxWT0nE4rTdk@#8*`TzsgeriOmF zB&Y3RHL@e!`LwfK`1tt9*3<;xrfm`2;ku|)NVq?nuGVvHTpM=C4gih1Xq_yqC~K^0 zm8|Aiw?ty@0VNw`Gc*^p`2}ddxhVfMx3;pTp{=yC%8+uh$u{@G>h;-Fva;gkS}Zmwt}b`UcV?NEfB1GxHd6*1m~- z46(4h5dcZO=TL$zDYgM?~el2LHE)Re| zL0f{4?iB@QNnaltOeT97V-lpHM<}9t_B2x(s1~SqgUk|AG163aw^J78Im;TZ2a{!Qsq4Ep)iu|5 z@*aQu;mzFKJwqd+MeRYPqWfZvh2*aqJV&7kD35QTl@}<4*F`TZmDQ1u zS+3`k;RBwJrwd)@Nx9Q{aexcD-XW9(9rHaLOzQZZD3}P$KdYw@kUx@8QCL#PsmHEUZ$=BxJWm+^TJLZ3PGNbA z<`Cs@Jg-7e2Xb@#NHl^*MGeP6_9T#jsewF}cX9Rd9QC z|4o^$N{4ZuXglkRl$BW@N3%Uk%z_bHYryK!-@Dc2^?bo^c@?p5CZ8@!LDAX>ghibk zaGJAFezNjT{HNe+;J9=$$8WCe^K5qx6!PIzz*c3p?1>tGP13%0-Jt{#dy$#EO*H? z(rYswVFIQ`-G`#U2uAQS?T`L(_jdn1J-u({ICTmqK zz01n`J!fA)FMjniF+ICCI9b4j$&5mh*zHiD%)LBmCj;I=OsD}FDv)%r1>wTSQnK_a zh`{%LeNU(s4q7cNNSyb}Vri&2F(=Ep3l5$9YzsGK9x(f_+#m&PIIQm?`G6t*aQfa~ zy-$NY7wlZ%to$k+vM22qQT4*Xj4!vD%ozowv$-(IZYGqQXs{w!)J|M50w19-gdS`0 z%B&HoK(20|b=$b9`R_^+0Lt%&X1DY+skx40miKSa<%1527)$MokuDR-Cld z_%7egDke!(s=EB?MzzMZl1iyxE-8hm?y5t#CUCC0qIb*fjNjt>S(U!X+w;{ztzV0! zjGO7YN(ujRpG1$Xwsy+{|G==^CwHPT6iR+svqJZ3HqC^7_szL%Ls~;}uVcJTZ&)b- zEl-EV_=86GwvH(6p*Oo=DGpQVWwEq70)Rm zvo;8jxPCe-DSZ&}LH zYTNQqr(%0Q>`KV(bTQ(gk4)0GNFZ<*jooE@&Ms@S;&X5@6IxC-NPRT(+LLpM3Vh#;e zsG(@IPSeHVcDI_ZVa&y!qoltqf8?M^zhTdN3~W9ikksP~q=lEB=kK-_UWr4mMM%F{ zu8}U3xe+uQ_j1ME!8gq2@#&3}x$ofZaIY{rEKG!SJ$r7aX!fZc3+lh9iS*INM!eH@ zAg~J&ww{2gjP9L1u)|J#eQ~G#gtk^|AflQ~1Zvt@R9ogQ)|X}kx3;q?tFoxy$7;zT ze~OH{c_gEMG;501P6QR}`+Kl^dCW=*oG%T1`oqjE$8XUX5Hdoafa+@J?a;%wjN@jv z_N(+t@+SW(mX26(Embqv3=UFMUer|6Eo2U=hZJd6t+MOEi1tNTkkk)psOM+mKwCE_v#g%q8Rh1lh zg6iZs`XG?IguU#GECgEwPHmEcKjxYuT`5q|8UZmD_LGd29CHM_ecjTy}keM9zi8DThn$QJXs26E^?2XTmE-`~U~@Y^f#noe#vjNDW z1CHfc>=`Jw+Z*x;|SX!}*| zFw^kwg_X^U*#JATT^#gN)UvxIZUCUy?&mNk6Vp{l{U?M9p!wt#%HMBXHDqGLm0~)% zJulcONH1(FYnL~n`0)M!1+ibj{B75|8U_wVm86VRtZiM@GG;Qs$CS~`evrz+CD<0p z#|sr8E5G*(d>!iJt{B)8j0(OEl1hZydd`2oj6LGu>qpW@v|W=1-dNsU-d*2Ep#dQl zEER<=#^z~=%bXJs54@#syaCX!1OQP`)>!uuwo*9y-}V9&2qELhGj#kQ6D?=?UbTB2 zm@MWtddCIOYlkTPa$=17^jmN_d=8cYTKq(qv=AZo)3LNbkZDGarP-Y6yRup<{8#F% z8_8MLJ+$CNXjB)(t^BN$(FN$FV)7F%x`4*~o_=fmvUmi& zpkUZ8;EsAbz!b7}Oj+|Q`kz)i$Mg2bylZvUh|) zhWlPbjTbkV@k>acPDcQQF*ui_`HUVBkqi+gJz#if;QGMs*8%HHa&u*rop}Ne9=|JE zV{ym4;~%Hu0|pozGzXc88XE^%Cf%f(b`ZxvMvZ_*po4gxV<6wQ=ezf-B0%Y(zrhDz zMwUi5`=)K?vkMQsp@Wmz$bcFP6!g>9DRo}81F#UYOmLbmTcR(QhJohHG72U7HPT((a^LSSYgoWbSQFLG%su-ODP+Z2XUo4)H6PA+tnUia5$yn9c0KneFT#;M>18 zy9G%Kw)Qc2e6GT{0bXjm@+;1W+|PKdm^|Br_9Fv?H;g#F*-TgQhw_#;LahrMVU@UT zJ5NDpd&1vU*mvjyT!AlNtLL#w;dG;?_Q-68>OtiTIpFV94-!zqX7OdB%PRc zHeiGU#>JvX#8g>~U?seZj)-I8K4^#v!a=!7m2IDCSdK z+8T^-mG;ny*S=bGe|Eif{qt2)PNq=}_nAS+`HB|}3lt7Q3NR=!Vh~^%uHMPL77i*V zDPZ-N+R%P5VG!_?soXR=eGj?D<@Vv-laVn{(PD3mHjv|wMuBW zJ^SlJ98{apA0lKWUv%~meXcn=o?~u+92kI2%qMM*IdEj%wS6NF!3KPw|D_Y*(oQ4- z#+s$p-jqf^(C~=cCyj%P9P)UwDSzVV(t{YVwAJ(2Ev^JS86Yh5PC71Ym=bC=e~5~S z4bPiVM!2w79CpsmAjc_NuO=@*a@cRx1165>-(v~8mJELsGsdss^X)pvzg`nB-Nb*R znc%tXr`xCCbtqZq`7-3eSu=EaTg=?zKH}i^Z@Ld+H39x$GN@@Ot^hc{(H(R%*6RDK zE^_fPTQ)Q@4AOM+O1`+Ygf%zRJzkBClGh@LGBWmbFl!gLO5J}jpdz(9A_-bJkw@-x z1)X}_i;XFWjd@&q%vJ^bI}FI{-t3`+8dL`~eg69UNb>aG;c+}m8+*C1z=00OPyrlQ z!934PPKZ;CI|Rad-VU34;JMRZJ3+DhA3N2t-5>5EB?P9BB9aTydOFhvw3e<;DM1sm zy97y-*_H>1JIcVPPII7&QGofS_hW(Yjmlhm9*qJwW3t^U8t#_Q0R;tCrVZp~)A$)8 z!a&%|X>2#Jji506S4_m~52si1$Rw}&a@;61o!YB!%VR_xyS3tvKY4DLBR(;g@*b`A zBj`vyt9$rGQqKPRgcV9+SF8;+H^PnTetCsZEIOmTUlLQ zUT(*#N%h0utja=Us_7W4%-WPtHM9rxtkjg0D4G0K+GxP$6r8MK}YGsMzl%73u$qsVFY;JjFnL}qI7018VwPE!)a|Zm`TL?upyhXW~iUDjyVY~JK zU+yyjG|(8AT=e7PMs?^?G)IIu)Fd zW#rb00~SDzZ7HSK6?C}s$(!=eYTleCU5K{gOCAs_1?7-3LZ#NRFDNxEjdD2575bu)1Gwr&Tg`p5pTu(BjHKh?e{BXvdYXA2W6UCK2U&Yy^(0%W7i2`00&X23W+iiH{<$b?1buW?$m9Edtz8m{RhpGvt zy59EV>*VyQ&)XyRFRPDK%GS~~ATQ{t?bpY1MuDfVVHu40b1Qu$+JO*-thJiFii5>s z6tH7`$$r>`p`@0>0cw-b=j(M!pMGlt!trLD^9H{Ui<>en+zDCMX!Ywi_d8k{*PIQ< zn}gHb6#Uiqt)$(=txX*hN02rl==`hxC%)rn`t66$sxef9v{a?7xVF7RBN52w^A%Ft zqk;hrYk%y0ZW{SGJoTwL^u*!Vs|60eu6Jhx8!k0X59<+$#E$3F6}OWeVCNRq6XbpCk#M*NJ=AhOVbk4r@Doy~kBrm0ZuK^ke=P-`zmlJZ}B0?u(8# zk&&pA?GEcu^OT%UZKwOmC${S;o?=v3=H(;0ZT|PeXv_+@#;f@l4;IR&tM!)co7)Bc zRqZ2}z{ytU|71w{aUnkFp>tL&tu@PE+--^kRWLwOR7<4@-W`>2OHCysQMq}}u?jkhfPQ`eG&dZf> zW&L@SHdn|N?tr(%Js@`4JlO_`s7Gi&`nPhAYeMK(rV<#tF=pTxvR4EJSTxvnhB-~X z;&mOwSfY+=Z@wK2mwrW^5O8-L95o9131pDZDQ74WJ!{12FH2D)gucz$j>l#&=r~{q`%}uV{>-+fuC&G7^}kD-&ap1`Htyl~ z*hK_9UQheVFF$bHu2@}9@DHJ7#oT?de%R@u)-APLe@t`#zmAjwOu#7rDkW-jx)xMa zyximv5aZY?FJU^mPY#;FwMzc7n{4H5_1G#G^{b$x9UY=B5VNt(8IXy|ATsLToc;t- zwYekRilLuxc^mryykqMaV{wsAX-BTwe}xv?2HW=l^O0%qzYe6r8>19v zPq$~WtgiRuKHo}QcRnt6+WYGIFr8cd8LsphnOi7no=D^I8Fo{>_C7d>yk(fEhz~-Oi}ys&?E)t z{L60H&~)^czsGPo(Efb2*(TGHbJ?lYfL#8FfA_MuShLxIfU~9FP0G^JtaX{0lC|un zn41*C*IraaUV)QIrGA1>K&KKcPF~z71BLjoqyOoT;$*p)2mIOYdcIuznP;a27Kkg0 z<_23gh9<#{r(1n1N}5@0*4hd#<$7hP{vIH9?^)Prco4@LC%x#H+2J zCJ?ns=>OvGo1Qa$qHZV7gcIAgt%+^h$;7rM*2K2)#gn#sw$mAPEoibnK7t)v%ur2Cj#{^lk!|n2 z-)S;IGZj&_6c2d(t{Vdm#$vLWH;>{hX1wWT&bdZ8BmAd(7bq!XD&hXw$W(oNsbOAg z;L^&hZsoB&VxRa;p6-;&ug%kaP-8J8=%o=r&+m+yD5>LmXzyBmNWn z5T&?_EmV`Ur;QI&13dX62td3bbKd9 zCKbdf6jn(532^<7zrB2$62-D1Yx&;K^>?w4xMK5wku^i9nE&avaMuUrh6bv7ld`NS zuXM|(nnU|191|*TD{%7|aBSXvyD?9qwD-v>)EM)Ja6U=EyaaULf7EJ)L#vLd_K=>x3@9^nv7%hxCeHfo`C!!# z>iF)~Tm`8Qi|KPZ7j(3ykwIYgpHw_@*F#{01H1U3O;5-Z8duHGs1K!dT&<>Glt9EH z;%+ndesCdO5cKf{{genxRe&5;e5)U`;GtI^87Qo74yZD8jMn`_N=j;2)u9=SiB6kB zX+d$=h7wC-^u&YOI-Hq!K7o*!1RY$}UdGuU=QR-C&dmuHZ^%k64Ij&mEb@o8U30f) zy`%F0J<3kPa(h@;obv-P>?IOM3Fz+F-LJ%2wsKZT{swjW6M?)r;KirhS>K~g7kZs1 z`@<)RzBa^xiAK^EQxCW2XcET_>5EtRBMg;)khyI@MRiK(N8>(aZlZ7~o;G^^B=nDK zy2+N)jCaNRT+RUsS{oD&7uhqpy`oQ(rf_+poe8qBDL9)=jy`py7R^L({CK{ef1?)y z;1JxlxP6)1i3OS&pN3ZJ#pU(6oa*_x1?_J+=i4!yUJLo^w3nxdzGK;4yBxsE_S%sBO-;M4qah zkBh1I?x;5q;~dsjuQlD{b|5L895Si4RXn+prwI6Tv0U+eH}k`s?M4qvroT)j}(0cl_U1KkwpqVx_SS)pkeMrHq zuAa*;=`ea3i0X#V8U`cWb{}Rr((QV9v5GSY@ls?alF5IwODR}6#8R1{RQ(ht8F95j z6~bs$1>AINEo|v16GL4Tjec{!z{TEX3a72EpetP-cD_WH0Tm8zv>L}jz2p{$q6{uo z-m6r44)R*an=N>Ak&?cnax>Qw2{dxI~GI{ zf(XQwX|w%nt_VT|8-7d0?S0@l6_wecQ_!G$_BU@czY?Pv*rKFYE%w&M>LtNbR9Tk{ z9TpK5`AD3}o-w#$aC^GIG9-+IMa$*4zt;F}#&nt#i+hxldI6YyaRwqC3L1cx6nA7_ z%b#o%BuH6ycGwITjjeH$R=LGfmsu@OZF-8%KMblz<8>?PsAzz?ia1|kR}H`=6$PAZ z*%q#L6ny{S&M@NcI{Sa*HdL0`m7<|*mD0!P@u ztAgw2`^+0mLYy2Py4t~efo>Tr@e&iOiGdyd+B0_h*pWf__q87pE8Rc_W*f6JAFn1o z^=?3-9T#to<#WeYh zKi+H&5oHA3qLDBNGj6501KX&22awa_yz4W9I$}WB)6-RLj8gu7yU?+uGi+_p-f_Fxeg`{lE!CNFi)z`q;@fAq3M6RIM%bmVey8WhqKAFAbIYU` z@aEiNkdNfy*b5xdf#~yb*lq20`*G9y*3sA^dkqtL2@CdZLNOL;PLNXB&)WJRO0Jmd6j`rgpHc zNS;jC=J6~${3p3+{g!sW+l}@?hxK5@4WUTbuate@wrw>qs=Z}=^8uyD?gRIUKRSn} zrO9tdcgohTSrGvO2VL0ry`d|GLKe+#Ux9ITqwezm#S(LE zzaj>igK^SShzB--e%Npn5^)lL+c^9nLw@$?feI_4l86v1{r!p%AJPAL#$##JKPAhZ zg`g6&4*%bg6aA&d)$S&LQ}ag})Ch@t6?MjW@GU=($7GOFE6j8;bW#B5v z+<+i>6>6{$zbGmNG{2A=KlC42K8@TgaF1&P%*^w5#9l^$dah4Y$JUcsi}Uzbith%y zxxA0ZX{(Eqz}BRU&f9E15)rb?)?vVzSphr}&4*C_+TdG_nbT!d1##u1B-32Y^UC!# zVV{z=rgLj9$nkZ|KS#_#>im|xv|pZHUN5n2+@!>yRMgCorRknvrcR7oig@|NfoPNF z(RLaSy>dCnTX??+u!GXbb{+h?9KD1cBn=fSe{SS?|t--q>a zaME`9)T|&>0t7~Fc)snX4nV-xlJ)EgSE0D%1hrW39n*dj+S|Re|NZx&G|I^XJ$&ex zC!K(wkxbq^N2#mtVX;3>kWgKj6RY6kK5H(3H(kx7FgLS6gtG19(@}PRzqh=_rDxu( z(HOABBJeH68(I@U(5?Lr>;+{2p+ZMYXQ#JHA)$NyV{4&d9phRnX)>gCu(+Ig)ftbn zo8VQsW>H#w?{%_IVa~fYUi;9VR_*Q4PeQxI(<+~G7MWL%A%6xK+xk3ASM|F4Hl|UT zeOslgmN9zd=PICPJ+ZdXH3rI7GS|v)tNUiohniB^TErcxVSZ68jH+q^qo8^PswKT0 zzepCB$9Bs=RR+KSzka2xT5C32V1&UPqAPv!P<|n@2m6uaw!uU0w~V65ijB#n{N&RAjioDEgxk|mBxk0;5w@0vN+xtbsf1=Ax~_EB(5J-WT= z*VJa|-0~b{ax>cP`5x_%jH1-+*w`SE()N8k+9DpkTi3HT{*6hoRdX5V?{rO}#(y_* z?Tdf>bj8)~7<0X;S=?M~00d{&t9qLDw+YBnGM4be@gzD8Vmvj?#`O1S7{S%rX%A`a1qabemMqnSC&f=Zoi?$Rth!m+LVeq%`n~l(|l>w$DYnnf~EH+2qyzq^-n#*sLJjw{t z(Jxx*T*Z%}O#eSEezDsS`hPYqcA9%Vj$R%^qrhGt!@?0tO1GKN%NH%vWW;_2d9??x zyUyXs691bl66Zg6q4yC#7_umL#A7W^j89aoeoz|x_w4S{^VlHI@*&pU=|D1v$Xjs{oTFKwxLkJK}ma?7~+EM=6IoSj9J?=s0~ z-SRtplilC;v^4oT_`Nxbmk~|NkN>i{MD@uom+*-J{__WS#j3R$K;^&Pw2}3^KL5;3 ztAh(8`0?3-cLjnLCR?J;uzEZ14;p#BTs7VSwR7xGulZH^UNzw)`nW9}Wa@i-#+Ks$ zCK74*Pf@?+F7U|}4Hfw6KDD&Je0FgF#%UT!R62$t5wCN4dZRDkndQ1Xh1c^mz2Wx= z^36bAAD+MK7J(4xeh(Wgl#qZ49Mt0O9h7hIS`h!hZ-3g{C5y=s52%sg%{3g z?K0{?b=IFB`Wzac@$x|)ok9EdVeZOTr~4p7RGH@${zc$%3Y0Oz3by~eU6LJWD-ve( z6FHz=I}%OmrmefJz1^^gP6-Cp4VwNZ>A>bD-}&w5YCEkqYhIopaG5dd+}zB3O2FLJ z6)4^A`*9drbE}TQfcrhbPJ*SXgA{3JIHhi}FmWmPr$o%e+;;5HX~8m3xbHA(WFoQJ z5TI%qtw6d1y+Ey#aGIH1h4z~*Notf0B{jcTs=)Lvn7ofYT&6%Z@`84TNV_P2-pPZw zAd>9EY-4^$xxG>!)6I6-nqHfnVeNCbzn&nK*JH1xR=Ru5qeHbMCn4_o)6e`ecF&-{;IUlOf7ckp=ojqTU(QCG4RZBe-_!N8p zeB&98InPjBgX*Xe%2RR1^?B0{cKK|)oM4ilrinsZEq`zme&&$`zTRz2p z=j}r*_79uS>^@a+mahWhFx(+x2c(~z|GqCkVt(UH3_oMjK(qNvp{i#f$U`j}5wZVd z0?nT#WalQg(u~;F&=OgCV`m@bPmxmNSW0QV4^7Q78_VzWp{Hqzo{UHx&YD?*QOTr4B zu91mM1L^|@`Cm||e9+APaw3ibHIIRXrM5LKJY1m%Bb~`~0&$IY{n@F|&wh=QUmh#u*%G9`(o@rrVN(%SIf*zU>gvrS(ickU zP+`D9QRd+54O4`X{~))w*0nJ51Mtc7$wIBHLj=7Wv@)fSvg3SwvS@3&pNUbF>q-X9 zRt%0*_OC^YUyRargDpl$ar|Pb6OCn8$G4PLP$dAhv(`-gFWn|?<9C&kEWOC=ca7`CfFn<7$+vo zGpci>>C`e%h;RR!<4;8qb?X#TTLZIj*WS6-IArqkeeLVxsyCa|`Ro&?$be$-HF0!< zY7Y~QfmF5rI%qv*G3Vp7S$jXu*7se6#7JeP|-m~vqEap>ds)txio^pja`2@>7 z_PY^o$qYWm-~LrL<%xK#o}NJ&dk4o(W_*lai-_#E!+cx=}w}m#UDRE=GVHvX+1u> zMu97>o?m5^!*f#ON_XoMvb1=*bezydTsp~OX>JGOIUE<8-R9ds-lE7XylOJjtF@~o zU>KJhyWZ)-`lMJ~?URA$86b=4tvQFvQqjKE14lp;BVogGc|J15;ab9T43IU9c)8MX zF5xXlwO)`@0tlE-uDdMJ=eSyHJpL`2F|xLpu^5}wVXQBx3@qDb$J_E_EMBASnOd6C zQ^@wQ{aU;7-FCS@I9+k<>h6x@HlFS+yKT6nh_Th|J{%d$WNs1( zR?2l|OiDt}SslQ1XQr>(7%0ieqAHM{0TEq&>3nMf{*#=nwxKHD^Mpz@xq3Cd)E|ID zu{yS87b0`Qu5nPLsUD}9Ygny|{1q=n%&9?hrfiV=_twcL&82wM7Wlsr8|=I+(DzNUfHye)T~S3pM}aBo3k zZ)BA&-J(Ml+NxIP_&xjkk|z3Lqe@%Z>c-$_W85i2Kfkj!H8HhR?`0zIrsyEa7gIia z-61xKg@v`WKCDOC6q}q#`HM0wy)_j6?C1z>cD;W~Xkf8)5f>K6i-+L6&WC5b;v_5e8Y&}wjhuxhCk+2{^X(oQ;quas%0#k^2F(a#x@J`R__1cc zZjtx620yz{7){&y^aKlkkMd&VX#4U6)at?j#iuRdSGY)y-P&B*F*ZMnzr^{TCVKN> z=vr)?)2k~E?}+TEZI#47o<@H1yh5#TFu8PPi_J~*LXrMm$Ct{*)lsT*BYITza%WN1 zWZ&-2QDExXYXfrug(9B7i`Anoecm!U{kO?i-AYT?=sHfOnEp9V$T!leDmR4hp7D{5LxJx~9>UAS0;$r+!adCRY5VxqF zTJZ|c{zWa@IiiFry0xi;623Osle>LWLXGU?+$J3F%X~~7hCarHPKN5H%KqLo-Ds&Q z&DxYB~6G6n>+}vj`L_(E1O$=~Ywul|A7R8tf zF-HE3KE+vgO@ho`wR| z4lxt=h}loK>fOE{7XyNNpuy>brjqFqQ+B#8y&p*gzH8UZOEzBonO58OXFILPIYsBL zwz^M?UWhbqAKo3gf>nSN&wt)Wi_`q+hvxB~O>NU}INe@mCZnhIMaY0My*;KqfO*$; zm(%_-L-b|x>jwt~>{Qh&6Q99LiGcOm%ahw|6Fh+DQ!2rMOvkiyzX85{scQRkQOHJ4 zO>wq1P+KmmttZmk`n2z5o?Prk{-cAUK(W}iW8^W1=c@9u{p__(!?-l{Z+Z!DTq{Wkm(xIDAu$vx#&Ro3waNH22nJem&-TD5G=h6Bd_z_AnhlocF z;CortM{dT4-O_kCE^|AYhOS|BLcnkRBN)R!&aYQ{)}Kby+ixb5aWiuJ0*jxvC@|Ay zdlwCk^>PiZ6%}H2h>Q8%cdV)ZEj)F$E-Nf7EF=`b@8DCDvs3&GiXH>&fmF9TLFY&0 zw5*t@kaDfHXQf$bL5rYY-syc>smgIQ@ZNYD)7V}8eh`(S@AneLp!V7I7$eY(Kjq)j z94PIU`jzovZ$3vR;1|-I0)|zuK$*&5cYgv*Vrqqx2^TA1H=#_lbY#am98IEj4zVnR z#oeYZilC^uKS4UO&R3*PB}zOI69An@{m7@uwF<`lh=qpB<>fXt8e(%URZ|o^Q{h%k zV5Rmi8jr*H5iStk&V`J3BV z+9n)*6_iTmS40YI&yDvL_^EIdDGhpOiQ#V>e_~Y~ui`f4*H+dM#__m0%cD5ui3Mh+ zM_3xhwj7_tfmk*vT;Ol4Ux_GrMi$PN#B?_YmPf8^@UU($ur4GGyE)z%*F!Kamp6CE z1ZDt~ERL-Skbi9^>c%hW?D3d8>(gk*tr1i5=4y`f0nCtm|JA(_yiMY zw7q(|09ywpw*_7fSQxqMwU<1w>w(maYtH%C#ad z`&N*lxYT+Z^do*z4-Han62qv~k*}LQXGZ}YKNO8u zF2C`E?yiSBr@uUjV~HAD)I0lcjDlq9YU+!1Up+)6gie!$zC2FU6R~Zc9zT>kq%lHoQ9*dF2hEx8_tmfgjG}u*0w)_ny=UdTV z^aNT5xOw5J%jdKDPu_RMQGZ>SSSHs5-1pY~vbeoqGWoOYZwfpN>62Ty1)XUh;WM3E zDe*;jflX3eRs0KDI{CXDJ!yGfEy3R9rB64{!@Lx|hP!jYH#l&mm8w>YznF)@e>*Hp zxIMNB7605%;+kNA!iHax5I^znH|tss1@3x=hL+o+lM0TuP!@9xWfZ`$V8=wphP=dB zKy6nx0J+>pQ+TF_viuLtqjR`l&PXwwP#UX@;qsKRSH3^YYPI?Wm{zOqB7(}P=ZAWT zIRO$exwO~III%P#Kdh>Cu_J^)v4VALgv6hnc|7o_DNKQ0tLu6JQA5@U%3!Xws}oio z!~|O%PtIEj{~2U*%M{06Te#A%d5W;bXBjDKxFD z{YAGhdIzeq?_>p&q$h936C50TzEn9+VGFt*WK~Nw{Usl0r zzRctFiinNO$4Y%0j5g{5gAnTB7~CJXl(qc@Y$(kOgo4MPwR>z9A5Z^`^h(!-aayWb zMMFaqc)u1_MY~JK_v!5f$>@J)j&~HFOG^ib($u8zI6X%}TYRX5fp0D=9u=H8!`~UM zWRd;yvMYmz0==`x@PO*js%)xjMq0=y`sn1C4C)Uhw0HN8UaR#J$ap?_PX!ByDbgWM zl1kD-_$C&pE9j`}7Z}i6V+znUeA^43PiwXBo7k9w1tg+}H`XUm3p@HAH^G)Iy{1>d zI(Y08_YaUC$z)EtQyrB+i73Y|w9+-H6~&H{GN-W$R8y9p=-jt}@L7XJ`mTT58mUxM zMn+24@6|ztJp6X9hFwaPo6)FLT5oSHt2d!yV&WnvM!Hj_O{sqK3;-0ng=rWjf(}`7 z1$5-+ha?}Rqln98Zo2865U||=w)HcP^iXPL`x>H5 z6Jb%Z^}7xQeSKJH6ekzv=qba-*7FicMeS<9F^2L-{IAD#dHyAeFkKa`{(Wk;ii`L6 zqh$0Vsk62D?d8#VF~Vv9^`zWXaQ>+$kq>isZ*0-f`!&$miJxa>ZdBhu50QbP+T-rX zP!ymNPX^aJ3sPfr-^26|)p5lemnS2#xijHiFs;zqVq;Fqq9}|;3#XHP%-~`ET{Xa$MdD zAxFCM@F%#prl-_IEgR3PkLUY+IEuJ$guM~zi(znZ(T>n zJAOiubP3gAbO8&SA)P!wFWA~@kOc@9G| zrik%#ogd@|Xkpwl3ZFn41~4~;o%7!MBh~tS*qz`^~J1@h4M2G zj1K(kS249nl&a6}?acIU%%LS{KDc}S8@i3FT7MQUj>)oz_K4(!4|g!4!mVjiVQ|rl zZ2&j_kZYRlwV-u~ZVAO}BOeq+?xVrp zNaah@?z66bY&E82o zNEz*H=+^GeafUOz--o}hX8(wLN&DzOk;mp_W@avyt1mAv3uh8a)2LO9Oiy2(NMBMW zYUe6%(+wPzn$iWEKT#}~IapMwrMaDBV4a*B4cnG6O!GU@79h!C<8KoG(Fl@sNfZ0C zyR$7zN;S&sL)#hGZx}HF1|{b5)MQN~BO@zEV{udiPLAI7F%-&ezvlRqC0UuwBN77T za#y=snihJskbcxxFP=bcA{8vPi^*IO+6~`MO!lZFhqA0B|$CtE!s42H&+#w)mRdaW)C|d&1tJZb=(F^k$8STzliTH7VAn}+TJ3!pF zZR9s7W0Y6ucp-#4R(1Yh(J~0pHW0B_s0oy)dcy}JCZ>v(ReOV~la6E~Uvk~zZvr*7 z($>{9!e?k{F1@&Z$s`XKDPX^YLxh3(S$8Z&MyCq!+K163_b2hJ*{_GOlETqAa%Dei z0va`byK!e^Pn~ULB_#n|A6@Qh)Due%kJTM`3*K7p5+1TpX^dvM?QUYq zpAj3X=depZIYDvqCZRg{F|YXbdN6igVTK1v%%`knr6YCgM7DYIERKh-N|rPb-0vQU zlFMGxVY{b%mfs6p5FhH8Ove$tnT~(shvGGiI8DP0H?Es;*RfWNZ4+DzusmBW|08yS zt)D5$ex%v~$0&cYY^7F|%&chfkhctdpuv*&Q% z#%gy$ z)^sb_rXE+bLRtQ$``{TUeZ+OFYGeHtniEcG2aOBV#(JA)(C>C*wck$<4)oq|34QML zul>IN`J3%-)w=CVi;FHt6KUV{dw##q_hQ)$YHI3aT1{rl1y(Kn5dV zYdlWX;6Z+62i2JJ;7q@GU^WLgF<5331>`f%*_H+HNzCNZs|aD@i1{_PP7H@ z2P>}$K8;)@`xkT?kye<_%A|KjZH#taXaUu$xG=jt(TAg^uKxD+cK*HTMYG->z>SI? zLJStCU^O7M>uo(;&7L;HX;+XU$x!%d%+@>^85SFxwC5AKBwyWq0n_26_fwv*j|eTk zdg<;V{+a`=0M}(--gXRz=M!F+!5ruK($NA~MvR&{8UAW3ks|wf+@O!@i@8+>IJUXN z(6qeGMAZn@cQ=Nv;|(4U^~8Yu8xH)$^ko^}zxh?Brm8BN#qBbaE66$UtBJV2w3L#N z@W*U??_hyr$(I?r&vy*jVTOQIQB&Ld9lRe1|GIY$=07n#E$(!$qpl8h6*B1$;Ns#k zatJM%%iX~ACT_mssLy)wnbU>Pwm`a>=|hV1f1G$jdq_*9{~_KK85zm%APkOM1^8=a zNNnQN=&J@Y{p6k<{3ARZhT$CSE4{k}$vsH?{$#yh(@k>~URI8dG3)wUn&VT?-@h|}VhJ5{4~qCDX4IBjR{I zLQwJ7f3tkA5Wp_CI&p5&GBR-DJv=;aZf;O0Zj04lX#XC$OTY!$)` zy8{;&7c=1L3(a==%xOYCK76fvy)I8yXSheKjWF-J9^~mvEMtb_i<)tLjtAFq>Em8-(kg4+W@>)bi zkfPEUB_kqiVw9#{cWYWCm45+Qe+8Mit$$N6LgIu~U9Ylna1;>r39_gD7Zot%g}!myU2Un3wu;P-ZW`*?7G`E@xmF;P~1 zb$-T8#;C9zKgSRE1iU|W{X5pYzoqNy+SJ_4vBAg7lgZHG?e6aWErsLg=xAz6rncje zXI_I&ofIDrIvtHBFFy^7LEkgjpM-&qP7GzcHadE`08oyOjxNniN`hz=;?JZa1vk#( z^+2{^0&f$A_!E9Z*JV5$Ef~c3OGc<7#Q*){gUFZ>jQtnO47g2PATcEfH<#}_XGp&( zdK|sR-r=FB2&j}3aD(gMx5duf7#-Jxtut4K-7qSZ_Z&hg!w6f-+P|C4ir+1oBQW` zqBw!9M$^UK!z&@P{8;%+&OU5+6N%aH-xiO?l@ua48GE@qo}8Faa2iXbQfT?UOuv3&v0JYc zN+uM`=R_<1ip`^A4u`*fkT42QAAsZZOhv-NlAQQ^WNK!HtSNeY(1m4bglBeebR^{U z>$=yWfanz6mzS2-dac2thLMTM;bNth@kbL8X;@^WaD^Bx96WrmUjEMzF*^~e+GyKZ zu(NNb5h5FfeD=S{)`bNXXkK>o&WE$b^ZV2J6|WGaE3r~?#WqZx*U20K{hSv5V(%^u z!j_jD1!$1@=DdyISa+kZ&yP??ec5!1u%_ zerp7h*Z8Lz;?m@zY373xmwA-VM%IBKCdgK^h{=U0bs`9i zz_bPM6LjW~8JKp6I_Hxaq97y2>CH{NH<(^!6%|w|qM%aA^o=MT<4`5aFS4elrntDc z*8!IvE;XfiO^sA7l_2S5oDVcqQgt=-vkXOUP;Y(l+2#wC9h1w;OCqkNr6v37Uy#p# zh=1td6(aC<6(BG$FtnJFA_e4P6Mp0t_X@@TWRPbLpNJ*yu^v@j4-oXaKk?5-iVg}1 zA%;TwsTC*p!!fnj*#PgQX9jUpghE4av(=yGEMcma6dhEz`c+10cy#n9&+z(sdu?qT zs$i)-^6KhpT3VW^xj9J?G24~W2@68v6u7vec#cDlMb0-IcJD7iZqSmouvi+5q-t#q z78NC1exsqJw6w5jXliO`a-C}~YyfMi85@%d!fvUuqi}4^+<-s7H^-fupZ}g993`;{ zqu9yX^&sLRBYO_RB2?k$=Z6X2yI8F+Dk`cOn4E;?=O!TmV?MgLfV+c%fhj95kDHjB ze0X{atsgQ>PDxSM(b<@r3mCKC`^Myy0q`sDx3`Y>^Of2h?m|Y8%tTQKI0gTKT$WzA z``;5iN5Tk50=@BleL@EXC>KMyxrDT{Flu=mnAPjdVkEOT2jH7(Dk=+$i$o)V|7GEU zzO^;2)F|KdjEq^92B^v!{}bHx=qbhF!NJ3W(F6&jV*|X!8V*9aZwvYrZs$nuKhXQV zmrke83N?#EPjoyG*04T9{&NpIw@db0dWf02xCqq^4fF!t`MEpTeyy#hFE5849)}MP zbO*^Foq@y*K=+H$7N!32KRkHP49|@rA&|7|U;u#K2A~HS8qA{tfq?s_OaWA1U;kOq z|Mw5FK*HWjA)#wQ;kF&D-3`U<&CSiRv9X~c$fZ1T-3| z+s4+mU8*N_I3Y9ZQek0(_H=2|<9de@F~-1$NLchX4i-z4&Bk7`3Ap7G^5|rf^9L}- ztdwyGy1qjQwaJR9E3&_R)seKe^Xuc$O1Sb~Q>?TM#_619o%ZeCRbkO2OXlG5-(#a` zvERjyl^1B$q_*S6drb~-dcqjxrXLPq z$(+_T0}i6^oK3J=0Wt>$cr=(uXf@F-P+0{C)P&(K_aSN{;t=s0?QRU`q?ZBxv0hR;Eel9LZokQNpel2TAmkdf)i$PB>zo&wC5oS);| zKU6_P>;9dWbsI8FGPSXptuM(*S`_fTa}Q5mOYL6zy1skbuGVSYi>y|!dUud7>N+Tv zU;wVwIDJ%EA7vGMYarBVX9JV&mVd{&SUyeV_{yu*w2ZAa^oO#P7rA|82HH0sWMCR! z?>03y_M&}9uD(DLC>IIj*ckkmAH(2O0d8Vlc^s%jD8Jm~H#oexmq5JvZe2o?KYIeE zMWF-GlyVHLA$3^3Lykne%-`J^9Psc&?BD7&AeCXkLuNYjLMmXCWPAzU%;>!%xo4GUtiQYuP~ z$fAmY22e^pHZjUjngmFvxxK4n9y=?#rAbuWREbN;NJvUb(^ODI98{oL!R#Dr^e_|~=x|PC`&Nu0kXO~m0tRNSNto@a^Anl^R!^+CK zsJ5_-YNnFHu?pvVKik`-Mvj}@&{aW+2xf+sq|%Vj5!C_c=x7CyGYBy7u&~q@7Hj4c z?8^n+3@pEL2OT81B*v2xa{aBq!9ap5GRdllwUqcSFQ%E`5ziKgBYxka3c~qVMCZzf zk67<`Va6(hjfme7vGQs^G&$(ms?0Q?hR*+5*jqsF<5uYK0sl9@{@~lS=bTUpYjZ2t z|9m?xP*x9w6&4U!?)fdR;!mBH7XJDG>rY6Ps$OALvyxKA&g>ptfu=;c>+I6X{~}F)y9uVQ5G>V5wZL9o9lW%T@y)cWncZS zo%Wn9TsDV^za!T`r!BqaD+FIoB>IPRy`%$_DhW{GsYTJ9p@(V>3PN7d3MZEqVN2wy zDW;G_Y~v9Mnw|7C@Z#PF9txd$gwYP^ymXOM>ITawwJHTFRLfh66qf4X;TI7(YDfJ( zd#|A13uFELRJ0Sz3o0wkc3~0&q1c0iMT-lpg2&E{aQ2!NBTRSokAD27BZ=zUo63I? zR(P|+Th>=B0uhJE7n^D|UP|OOTN)nfTqJp~@0vby@C1C`S9S?J$4#URr@87OWj!r> z=V{z7o(*cpg9)+$84V7@K$Ky6U`|mupWCg3lB{T}7Le&8BjG$H)jU74$-1}>cO^MMyBJEw(H2?K6pzH`z^e4?;r?6i)^Tqv}{!#Rc z=VC-kJ5_`m0k7ilti(EMTjhXUtn?bH6dFr?omwTTMi9KG=l)GsD4*rZ>I>1JSoX97Dk8xxhGG(Mz@S#R=A`(^OvWbF~FxR4Y-W zG(2psuFg?b&GZ7b^W=H^Ca?D1>Ecgub^X;hOqcrQMKAs5kkMOZ!QTm@a%_PGYLf1` zQ%poN948&-@%<5)rq&Hi(9^;{G_W%^rfYJob9;oXbF8!Ka;G%?P-o!%xZjtj^|~zS zUK%V!Q(a#5%Li973k27LP zu&PbZa76Ted)}Alf0#hozM|z#*Vv*oUR~8%=h9yHfZ&GmSc7S`hA&}0PIPqdMgkLm za)H5JV(1&x>O9&J^(ULQyL~q7BmvdIEg<=rkR6nzYrYcf@`5zG+6D;-3e2ymVdUe> zn*JJ|XXnNLo;a<~&HaIPujwYBl0c~&s06rmMM1ngXQu4K@)IV`D)8jGcBicjDOxJ^ z@%Hxi@u8!mBOxYMShXjE4%JmrQB_f~Fd}YfY*eCD86EXVN^)t@VExu}V01X0uixGg zL@A!~aqkQIaW{1JWL^iZwO$$y;-w@NW4HFlrrhNm?cxZD?WyZsz;sj_--Ea(EvL?Q!+L}3Fi%+?E~Dzs!QC1j5Y zB5P)NCx7gQCW2^K*^VZizu$MrAL zRBvMs2n1eUe&fHba&-nD96V0I_oQB3eI${z<YCz z<#mcm6e;!AgH2|xl@IhJ=)AmkpYJb-0=xp;oEwwzX((x}Ir*mK(k5n?!S0sMZMjg$ zmZrAr8WsZfqy0{^$nc?}A}?B_X(_8GWi0S%=HF%Ma#O1X*~+!{);RWPbOkaeiY3DKo1?SXp_#)#*6a<7Q8E&RkCq z9}tqw!pzKA1uQt!bE4fxm_=V$Ue4iiBB!E~bh|har1%r;?JSW`rt(`DF6#A43jP3idOlQ^I3`fB5K0Ajrp)?m)QE+e)XL&W9#E;dDp#?g9@0X)5 z{?CJi82vBz!918{ilH|~GM!Mx7%x&&9)w5xtNmW{#iSzO=V{zA|I0-Ti)Ceh>ZhPl z+z2UWPi@}mySZJ}*PVp+%mIH9j=ty9q?^F&$w%|{>&;AXP`p~Q7Qn!acBw4M(d@_v zo@Mh(fV_-@&FzwnugzjQw7UEDNSwgudTM?9lGB;EIjUe*wjrkTZ%Esj3W_wP`Kx z2Jy_g+k%ABvrIz%*DZur(5|k&e%CwPDg?HwvNBj94?4}L7E@8k*O#AKSSwfWyZcZI zc^r*^_0qXeFG1R;ilCND8R9*F^4%&`ZEK}a0LhhCi5)c=fCMK#ut8#k<0}14%gV&$ zf0me(6i3`3gmjjYnD{?jePvXZZLqZ{B_Q1mQqtYsNO!k%cXvy7H%NDPcXyX`ch`60 zd(K*Ceg1IyLmzqW>$+$5?Adz;zoWbymLd-zB|p~#aTflYEQE!PP=IWd*zc&XD?s&2 zhZ@Ly2A?`JIr*tZmm$G8)IEkMRcDYi75uWlUO!VOw^ATVtay#;-?0OCkk!QItur3o zPhOS`{;&D$!ZWFaXqNQ8B!UMNNwwjJr9s)F6>QgF%x8U>{SY#y7JhsWQ{ zGg&U7{8UMVRN}=kt}wWZ0~M)XVyIyww76J@NRwQXeQhFPE*nrU+j0!=B992D*b_8= zgr&T0g|;b#{HQ9BO6T@C;y2WaZBiC>w&!6138koo!=)qa)Ed

i#4Y6!@^LvHU4x zvikfBUK=Ly@L01o;y39`>5<~CmB)Z^>^-yLzgLtkk?1HVdi@4AYy55yw+A>=Z5x#V zkxdI3tTxol*`o$0-?v>MnjPWJ5f*EtH#$EJrV{Nw4As~)=UjU9oCIJd*hSjN>JMD} zjMSu@eSpE5UyHzFeCdJfcQmRa!r@f>^%tA<_Ljg?Vl~FW@khH8ld8pYM;hwt%%lUpBtIdW$&P+4eeG&tGY+gn@n z7Wz2*f+|{{c|6_F-Sw%6kQ4*-MK&z?_dH&bRyHN;SzQBwrF?z9KVPaLy^{55g+OJ7 z59!XL{lfP8bnD04?R{`yED8%~k0-Hj36i7efH|nhUIg$LgThV@ggE%=R@3nA0 z@U<1@$U&KRW1II-0=~L-p9}U71B3OR$fEgKt~vE&=Lg5;!2vQZuA#wgq%tb6DLwso z21g=M^q`DprW?vZZ(m=%bmsc{`lvXAmH*HA=GW-pa1U*Fm%A6pf$`QZpP3FWqv?E8 zYcx&Iy~8u#b%b*pZ;%$pQ%w3_kS{ddVE&Ns8HY0kxo-d=*dK=RMKuWb=4cLD8K6+< zF1Cq&dJhDB0!r*|T2>FTSV2NQ?w>aHb+xsd&VGr0ot-wh$R32{{1l7UN3%b$tpMK6 z`ZbCy4wuu}y4(LNuyxOeEQdtUaw3BZFk|>%ovt?7EmrEvL<2=!(9F1jFwEIK`&@AwQSLD^aKdLa)`mwr)Zvye)qRaRAn1PAkSAK{1i=rEI~ zak|7H>$X%P-Ly0^bY}Z%{sbO;lhXk%I;-c&A_lwl)piULg*6gByXz*3FX$%uqr0r2 z;6W6D2LxP1a&z;92Ai>VjwE(0JChz(*9OdmfF8I!l^ zFXXkPC%&QI1R?pCP_$YQ&3|BsHe`NdSAQ-iqyMz6yLd2_OW@EB>?Ymd(WpWC{9ANy z&S4NLfs8(G{eO~*?jY9tmKi`Ld%oT(mmbh3VS5mNmuXXPz$GB~;_LUU`HF@(jpvm( z57F54c$}(<7tvX|ARn}zfr`DFoah?o1?^5o2rde(2i<5`8im!Q0*lRhu~?-KV31&< z;5&RG-)4MxzF_a9qP#rN$3RaR5S{c=`~yA%M2RS<=HDmM_`zPC}ZzD6p~T+fev zQ={!rQlwC|{(K>?h9YI(gQ%)jcl4W(_46M{aVAW92NcFG$KPJLC)(u#*p z|4Y=;@(fVY3~|;3XK%THOnvp|Pzi!?a&&}+f?BS(z$)g8^7Zr6)YROH4yj=VFMGN_ z-yxzkl1|>GyJ%$({KXIX_2>i&+$)TNp zdwrfl&AkB_`eZV_dR2(|?7FwNH-KYOuQ%s2_?5$!P`Cl`6{R{nz*rfbeL-PiTpmyC z)DYOylas;cz9DNT=$mJvpGMQ;=docw$jCZfT8yU%J(~SCUEy`-fkq4tc9Ibado*c= zhYdPSzz7mHjj@|U@Y=LRd`STZ)w4nHB>tmSvPK2} zJ<>PTTHBPFXA!d?=TuXb(paz<<-kR%jecY*z99MJob2{LnBR^SvUhgHg+kxUpUfLW z&R-%`Ber_;RzO$hajrZNf!KoFg$M(}V`*aYHBuUzLal2p7m}?IJW@kj+sf4RH}-?J zilU}wI0-=U2L}cF!^>c#_)86-%x7X^DhwpTZc9$a z226QFqlS1NGT58)*^U+_c z_#{g^PjfJjV)4OoGZkogmt*93n}s~&!s9;gWKn1w;6v=`hG5@-PohS(`KKI!Fv&u! z*aDGysm2I6IPcOd%%faio6JE196cN?dmC;AM>~Y>ahC7y@mFmQhC*53ci0r#!KBe4KL%yEowv<&K!F_u4a5rTjaK6xv zwqIWR$(T}@kgyo!QE*hqH5jVH41bftEolHY@}|Iz z5e<#t2ld?FGkaxK%n3v%%m|FVBo~U0OjoM>=`Pe6ad|B@3`!DOwoVG(H>HJ1{BDmp zacIWGGHqK-DjilB9F{YGA=sM5J2Ldf&ykj*c3Q-5;&2>av*N&hq2UNb^3(pQsj2z- zJE=P-?6pL(UrPkm9zZ{IK0B011CGFwx7HtJ+oKz@+re=PMW{h%p9^LUEspw6ef=E( zSDpk1e31C;t}HGjb!wbB6TBz3s=+ABK3xX!cG7KyP~^>(*eO>GK8N^0hJd+DafEiv zsQn#*-{2+BVo?)jz6f$4RzD%k*qGQ0=bMj&t9G$WIu&1gU^f0W40m90{WEUtSeALD zdn|C7&%_=F!wQu?RSMz zFb~R>r8kjtb#Fjn`IO*73D4ICsk|rW$O1VV**C0 zK>lD4=2NM7R1Wysv9G92*KofqJlpQv6B9m6Pd(6t>d;L}py-1N_ zqL?YX=2tLmkcCpQr7MqlC$no$l*(igqOkNy2HE-VEg`D>8L2oMVvqqk0#X_$4|>$+ z*<=g_{R#IkH>APch-mik_fXNSXIwb5XfG@ey60+Txqp|ULMN%URS z+pK6@o(6IbP>5%w#eO<;2W=J=blk35i+3c<|J=Jh2=MQZf;IVt$Lw)JW@X64_wk6RqiiOn2C*qNXO{2tPuDR#j(lBVrQV3 z_(F4Yb7<5W_|k;Jry( z?aID0<_;>`$HB<+p=mOFOh7dUs_0j&@=c^C6% z+1-mygkjHFvw$(Ia-dpakwMerij>2ywQaFsx(H>zq1M)zVOi!b{bZ<1^~)Q`cp@hc zIL!SdK9wk85^XQF3Lj!J86g`esPNt09e~FtQDzaK0t&q)?8R}FTbjS(3k{MA6~gS& zGWXzrE%e4#Ao0-B1*c151S+NA;9vmu6G(nC&EYl)F#YV~UCYk|ED|%0sS5Zd==ZI2 zG0Y9UTvcZ?0zBMV7x`>O(@x+Q`c#x1k5*6NK8veSk1!PP0Xp6b;itZvLt1+)Q z-Ep+7)9ohL7Abc04$z#>49Jm%nXamjI;;~PXTw*M1b)SuVbt$etNv^1IU4_#o_`Bj z>1?0V6N<1Gt6572aa7NkLXBy~v_4@j2UlVs%gmtJND^*g6F!Rj7)1Lc0AY;^7srsU zd%#`FE7ib*5~6TfN~w6%59fWq2N=KKo?KK_iNJM`&lN*SBU24m`ds{eaf#aw^0e3R z&97y)UJ!H)Pds1CY>}y2w=BAE43LYLDgAC~X!O2M&_DR0o{`l^ZH%9RI z$nda^u#FAfC~t4195gia;@8wEw$aW>kw{!2(u1^C?YZUU=Bg@$V|3^&ML@ZG1qg7W zLLNd?L=~XY0k3Cled9}a0wUM3TCn5#!vb1^wF6rIXLmV~UdTR` zXRLP6aq$?ne=2dJ(%eG%)J!=axsMr%T3fBQ4Ev|n+>+^Cr~K7_{*QKb-j6ZU%ujaO z;e>mlb8h>2h!nE5LA-stDNwu5k@M|$PP^S6k_DMuhOHE3dqa z{V5)BQtGhRI%Ao1^;Kb9?;#>zz1rFuSI4tmqU|RfoVwZ$L4B2E!-J`TwrhUe#=1wW zs;Yv|01!7EHY+V7BXR^fA__9He;R>6U?<16x6gEE>iy{o3p;x=fbc^?LM)o^9}&ZS zS_BbcVHYUKgm`4|$>i;PkD)X7W%9MNz0`NCL05B((&84wjgBZ6g`(dq-rM;Qb% z)W_nXg$1WhZxHl_l@%<*PqQJvfBVt_*%@9I5(czdy}|MT+Yld}pF~(NJzF4%nURrq z0-rgGF-%lV*Pe`#vKJv#!am|OfK|8m&!0b?oxJGa3|Wo`*n8Rg9DK=^NFSU(3^j8_ zQe%a{=FQn!3kx>9l%@veHb3G%!?-o;_v+qV(&8sx!nmP$3Hs(aXq!cxaRwFwND;XxF$7D|R5R26hixSU$zB`*>`ucvAg*1nE?<($#F zORElBUbt86aBsEokQ=GjaB(O(kx3{OELw}2)>zE)`o91A$v&sK8Pf*bothts3}V$4 z(A9lLXtYJ7E1VmpNWupXCWZe>fOi}PvRw#$43E`lC5Q!xmsE->Dn!(sz3f8;U=!(k z%k%{gK*`-)T?z57{II>nF$QsSkYIijr)*pE(}IfYpujQ&f5YrX6c9iMrxU1lyFZh` z6#Y*3r4t6|dAqr}`D;XxVVBdQr$;H#eremTWcp zXgBJ?|J_tr0P-iNxT-1!Ct4ol8MqORl6BzrFmeIc&TnJHNlhKwUd|EK^%`wRk7a+e zYD%4@tFw_d%2vh`vsQQF7A4uG;$KBB^?Gmc%6)G=Ws=+p+f;0n#0&i~`-Xj}*NmmY zyG3#+8$SDGvO5b<5DrM}DGbsAfLfd(L&;`$0oB@PxR^PCU!R^mYQ&f3uPr5*8mxM( z?4&Jzxy@;E;Kl@V*+`sn!(e^Epm_W;K52B_(5piXI0VFZ)Gi}eKr%NvD$!`}9$|fO zadk0^fPiqZ{6HotA+h-<`YRXj=I8zLXLlL_B8V}9FRYyKqq9lKb>_ZQFM@A^<=@B91R8-JmY5ffVt(|`W`e$~Z;e&5MbnHQb1fIU> z2N1_(goZjeY&!wGtgKVNcfKQ)MTrSBCizI1!!l!w#}S6q!?Q68;{1xSIT!n<$+I0k z1cJ~$^SGjI;06r#sYadBj~$zAVev1C+;~^5sZCg*hfq$!QRGY(P~|m=yGXBNLG?`S zE~Q&6`G++El){(0ZZmV3BB6<-tekY~XMOE|(nW?#Ru>VXWvcwMlWCnS51 zwY+vDx7l^>1L%1HsLw$mh&X-kI^PLNH6TKGvOr{@3(|%&JxVY1o6hmu=>X=!Zjb=WBwNq$hQIkJoAOr zcklGEIX*Nta{?vwfrOtrlES%epd>;M0yVK$+SNbNvj>iFO^92G(9NtosbtxvajRAB zZe}V0hG1e%!eP^X?VOD~-p@HEvNMuO)1mUwUk)i#=2f$3etO;fH;ahUeDjrpc!c+u zoQcZMT%KJCF(FF#!Qlu(``#vrs;m{88k)aP3+6f zMqT4HK;uMA%tu{>bLa10-<~gga)6V>)zwu^O-)!>7|;^OiIE|rqM`z!kA#?bcW*DI zG3e94H(l=*5eb0|SnucZq9VSANj4`ZryGfkJ7I%H&t7#EpG1)$KsR^sZ~!s~DCGe9 zl@=6}5HDQ^p9q1LZv{Rxn*ey}&0&U(2lGXQDt*VRB8b^($jH2j3nMR}xpc&E-SNvR z1+7#Fm~=_&aR!)WNeoM<9fDC*f>pjr%5=%NPVi>7Z{#GLG z1fP}((5=3aw5z!-BrVm|w#P?bEGVy2Ft4yyuCOqnQZRq2tB0nhhGJobrlwpd&ripw zX|^$Oy;cp1KD6R_sps8*rU61hF7*4Z3So*P-skdXGAcoslNKT)ebYMfnyL8tZpeL{ib zPR4ERvPZavRq7e?neRXTBvy()Ng(F&Cn0~2jZMw{F4zHdNB9fe_=}cO=R#fqV>zov zhkUm#rqnRS1GI zHZ~SgfDqFISE40+>WZMZha8#mcUOT#4f)pF`i=+#wI!HWDD4Ek$gZI>dG9OFo~%Ae zq=A06Shby>s5K36Hk`A{+8#_^Z|)k<$2H|(<+PUt(yQ>WsTKsk2wO!+;560IMlo;V zc&QZ0m_bGyzrb1`Iqm?BYAlIlcd#JSw|o^+@tEG2 z9rXFB-Y8HNzC9ETn)iBsrV!f&YkJtq zo-X2m)YjbLxQ(3%v5@%6G~jU8UkxX`f;SZ3X%TkA;Njpk*3VW>w#>QUFdtYAH?W8| z9G%7XTWd+X#`Dj}7p$b5WUOHxTzxP6mhchX$OFTtz3k<0HEDROGF2|+*Q4K1@FJ_e z3-!25YV)YH*d$zk!6IiKv+>xz*S9KLXE48E)tk2Xe6|@eO=MrL&2Y6$B-Y4Bvh_R1 z%(kyzYYh~oyfTl=5FRF7Kp7qdNFD?SUAQF43uew^1ft{LQVtg01c0o1h6xz902Ofr zE?2Yl7XJrJeDm;nzhQ&(v%Tm{0@JqIPQ1}PA(oA%h@ZQ2WXC?6ad>7&BARhkRb^@T z0gaUI-ZnaMD7m}jH>ac&8U{*T;igczvcKIV->4)r=CQM<`uznrtYba9pCy_#gi{>J zn!b(*2&mYi$$emIeu`j|StFQacU59$rq>8hYM+C#o}QkLPS)W!FY!idHWxcrc7}-) z9%@D!QW!H-{;kAZ5%mWBt57%aVzCC46N+lviHAkNrIncJn=jK0nk)gBjq;`Kv9X8a z>qyLz zDgPuVI9c$6#s7m0pyLd*AK{&YaXPAi;Oy2x+mFm4+&Ee}E^BYwI0}s)yayL-=h5jD znfk(mf%!VLNstMHbG7UZqLjFo+$JxBOq3?Z>Q?oa+qDmVmpky|6RRpKAt4)Y@3rh$ zu^Brq!#RBUb4_H))Z&X#1DX|wVhZDJ>xGNwe%1j@+8?oUOL?-qW<1&>tW|VH2U)|X zCTIhk2fDUa*4>l0Td&fU=5u57B_7<*aVY8R9!ClqmOV^)iZP95GiQ=+3@bM#P`g_H z{@bFmGA@UsIPNJMc*ATLJ774w2hyS%RpJ<`HLKbhv_=w3qz$WkS%TkW@W}4fqr1Pt zQ!|UOYJ$UMch@%9X}XQHqH^Q$#CiME%h&|>qve?Pa}7;{{QO?p!}T1R*5k=@dh#fe zn{ww~CoOKG_=Mu{>nE=9ADUq$CC9+)b_<{n6uxUmueD(VPx;;{C{XL0&)k`1NJM*D zJP3IdyP_0{waki2N(%}5XsC(IgL7qb#6nqx+@d0RHYdt=%E*Fr7ogK2=mu!D^DH36^dbr5tk(X1N$h`eq0u2s>i$29rxbi{+ zmsz4)WCv^R?4lu4vF`B%7pm6CoGs>QX{EOlQw1k+c$_U)j1jHdyfBP;-N4z zZ`PKvQgga_cylYB$8l%5a&cYEB}U3HP$4D8TKziV;x%N__>!X zDJDjjVT3B~0hw=5)dWx5i_MQns!1RU&j3mz!&-mI06SP`Zv%`R<*b&TC|oZ`fx;nP~2?q z_l9e8->+Uzv|XG$cbq*Q3#v)lF^J?ExUn`r-yJ8$tM^h2)rD(qYNn+ur6egBSduu| zw{u={1lT4Dd=T?0>gqv3K_7$-q2C^V_T>O zDW$A=vE=kn(Z0l%Knd5Ibf%Ghw?A)BojuYX&Lr~13WaHY;1Sq7zXmIRK=;EzJ5g<< z6W<=yJfvE!JKJx)xY!kZJ3|2G3E`Xb+QU6(=A!^R@XX5r*{h)=o*+Ym^>m)NaJlHv@W3f8>E}lr`va?0{NAMnF)@i3VS>EkQJg%z-mBv7&)%=iG8;^q_Ubsvt zbxF15kzMI*1$lpQrYq;%KC`*Cl>Y0)=-fTG>n`YS#y<{PmOS3V;{B+BrQfQd6$I#Y z^#I3Y_skbw4o^gRdmA=os_-+PzsOKfG*^xczQR~l4J8M6XFJn3`A zHmM$0zBO_o;0yFb>$0wK}u=GaS01rZQ&0 z-s=Xse5LZ|^8&CBJ1h-HmvpCE#gi{Wx5v%3=~*Y8zezdkTqob2q~QW~zO}WrCkT#4 zyuSROMS#{1(ZDJjz6aF+5#Fb#+BMA0JMVy)fV*25{O`&Jtn zcMsdRsHn}Hn#6mg{G%ztc83_2B%!LhL(o@JrP^0buMI1x;K^4@f$p7*vo?>j{9%2) z!lP-`%9$345PC|ID^}wWslcB{}xOov)yfr%!Kk6yf zQltAtR}$y|wTtW1F9w*9J2F4=rTgui^7YL7lSk(2wIY%CPeJt3siAl8YeI*9XyZwbN^>+D^aSC(C#ST!zKx=3r*6YyGY#Qa=G1OVb?H?4()ofiO+&|=L9mRT za&8-8N11Jzl67xUIF5pu6^0Uu-Y-u{ z&;b%0Pyso9AC(&LqMv%2o?-GzO=8=~85{oLQ!ZAB3qPhqF<}3f31sUXFgMY!nc)G(y9fv5lz5NXpI4bJ{N3yx=c8p$a#cC&`*RvP z(&HzolImV-g`#TI1_ucP_^18@iK?oAn&pE~Kl1G?e7zq1ZOIH2+Ut+~HP;t#VFz2i zqYP$i7Mr}WX%sVrs9o4{J!?|<%b)+LE5 zse$=W%MR*}nzK^w_Wlh?eRp?|nA{e;GIpQ=mHyEKMy4= zw{S|EnwkJdy;i$>6ZaY)ykVJEn=4@FXUQ;fyc|zwV`9pL#n4MKN@#lgGj|2{SvbnR z;jc5cl!@K7z)C&F7=yTBATeo>ZiXtTa-tNP3*TADtvFB3yWw-Ujy(7C6;Y*x#UE7H zeyOH7eMY!Ur7~THNYPag9k>6RD$mZifz1`85~fpZnwzyE}3u%r$ksj#xE1`l%9 zB$E0VmdU`nkwqSUyAbWQ$}xs*`BOc*>%q5m%t7Adf{1BJbxKoghU6VCVq zPfV;qky3CR;##Ico&prgPlhu7(m9pNIwj6}s?3QwVRShdsW2q|a^O_E{`5 z0=Ewm)E7`?JK1L}iTn1F%sf>A|B2{vi6%cqq8O1won+Zl{xeLmq~nmeSxMvfTl#UWi&E~4oNgJ z05~9zm?!#)PYW< z3;E}9yjihMP^J=3Y-mTtmU&+6%zuN<^&j3#L6th4$K$5i4r*^a<3EX*cyHiEPMk`6 zSh%)Q7=OJ>eW%!AJW2U7i?w1s!+OELG8-^KznL!&y(lIjTdu zLsq_{xl^tfdCrUHR_<+5HkU;D{FH3dn6cDrJWM=$)#!gO4iMGmN!cUhiUjS76q~9sqY2fu%~$#Ta9R}NS{3s zKyW>!yl}}3hT=>}YlJ7luTV=;DBRs5JWuMZIv)P^pd|<{VS89enw!0aXs*DOzTBkm z$`43;9HpOoF1MX0l6G+09Y+$#kvXyb!&M)}0x%Nd za}4$(a)sV>c+O2Ql(-dcHD8bFT}B#V*P51veV>&y0>03B%;r zjy{|bc2zzbD{Z()f1Dbiq10kE8}_G@ZR7Xl;_?MhOG~6@g?RQ0(!cPMFGrB|A1^Rw zK(FfT+z6y_VAd!woDToPLgGzwd%m%;n&y%Ys)v_;u-eU8gBkvWS3#zujKb&&hxZD& zHfeAVrZt>xMiK-QN+R{7HL~}n1-?{F$6KfV3PGp3GwPC@;bV0|X4p&58r4Q3?~d8LF>Xu>_bFMFXlG{1 zjmIpJqre{kk4x92JjS3ty~^o!thDW7DC^5(jwWWx*zEA@xLHRkMI7mo4r%1*Z9Oy_AJ#cOHyg6{%A74sIp^w-=$G%InwT3Emm`TmltQ~B^@mb*d0zBFeCgfj# z3-*+QO74Fw9As&Bn$-Vz~s?6mNK!w4)(NT$vKOg!50K6V1=POaD{N|Sjv$xs{i zC)P*9v@&JMG{OFrSR23(#Gk!7HRo&w9_BC{u-Z9 z|D7V#X$+!WwYdRG^T~)B*^|~BJ>BT8ANbez3?Gx8w7|<{$PLz0Jj^y_5=4nqj%F6N zc(vBwN@23)x?}1N-qcj(ij>H=$@4k$`3sd&D(CcIAxKxG_a}g-{v)p3_X8$J5B&lJ z+#gz5On|>9x4e7#Oz4eZX~_eN@vz(Z*4$A@lLO(w<-FM-0O7@>cOacB^2X^p8>!## zPVZdlun)uw12JGDhZI$z!!#wMQu~KEREc4sm(zXc(Hr zmezdBkvY@&_tt@mLBL{9ekAlfpiE+7W*#d5O08kp=CFowOYT$zVy1!kMDyS*R z>po@jw7&G4N%Op)Ky=`6%DX>)6Qcq%x_hZT@Y&@#7b|Idbc-d6a|8PaM%LCm06P`% zL=aw9Tnt0-HH|V?CzrMD$X&DjSAM7nh4}d zENdugs;(^l5e!sXn(t&RDJ>>5i8Zdp_ED2lUy;+4RnpR2z!&;vVE4LJVdzzq{y3H( zZ*us|t$xQF{MH3!A~gJ#nQ$t1>$tP1Vn!tS(?uQ2U#uj$VNS9Bz*62c|5j4YKqP}A zOXlpqQW4m4vf=qG2|%!S*9_IY06W2`O50made!wUDyxWFIH+%M=Q9l(-#2>a>IoU{ zT3B!~rn&r+jU6BI78>FPiCj`MH13XRtYA~%Uk9P>M;tY$?O5PhIK1Dz zg899kj!CLTmcN+A*9%2~VruiZ*Gwrx>gK#bySM zR|n&A7x(ctat#|?s~5B7H3W1I7|&a4fsCi)`IEdEZYFDva%D%uz!$5sNS{h2(q4lFo z05=}+wPcGFjHzRX9M4vh0rZfP((Li0*uFb;Svrik=b>fxUo6$HZA*n<*|8ES3{h4;uB{ zy=~xrJvnYLS|ldL)pEMx_t=YO>Ue$ZqmZ6RW_6q|7?AdQy&^68!PLjhJ%^FpJum!R z=puzNfP@03%2s}spjVZ@{_}$|{D>t8p?!eQ3HY|UHA}{@RF@YQz!tK)`ucvTUjq{p zaC&}(KuB-WAe-a?fF7zVzOQ{akShbwSjzhKaY;UX5(*U)DAN)^ok2Igjd;F@@X8C$qA8+vg}&&0@wo zBqhdTTvywCOQm3})7N?(-oE&fV>p_^pu5@_l!SXzm3NyfV;h%BBm=RNu?Wvc_ zXAfW-0Ew=pr6pi&ZF9XvgbMfq0ozMYPCiUKL75m%3rT2yYVs;tYfoJL(%aj;Gv7YZ z@w~$@(e`qq0*8cz+ri|yzeOUuIJ%(abrph^FmY~H`p=6dkpmVs7!uAbXn)`LM253Ev z)*u0%4o+G+)8$%GbumS$lcLbGo)&cnJOgF-JH2?n%+RKAODz)M^#02PKl2R?41m+~ z@e{Fg;aG3&^dYlpQieuMAkvv$U=ZHfLft$xjIMRseyXGBP_Lq>DikAUfc_R*DU*1z zUg`OI&QrQ)$r74B z60apF{eoy}DAwBb=HSfcJ+7~(OtD5P-<~F+@S9CdEX>TzOiXrL99c{zP5(|yklrDx`JBG#K!H8x#|ws+e|Aw*#4!>*f>=i4owNQ|kw;pq9cfx+1v z>GaBaoX9xYK8SpfGzKZ>&EZ7HORL`8vVhQhSKF(Gg@iraqpC{FgW!!l^7W`2_swgM zl9&jy$NOP1XXw;-T#e-cv4u1crQp@)o6|i#;>l|4($(j0$0&695Z7T@k~)<|_gU@b zhRioN`Kxx5|0`=U1H6rZ3lZ1r#RkxGkRkt$r%)bGqTBv+J-W9r@5$!wocgnm(?Em} zH8|=l@h6e@*707lhxJIp>;P^H<3uTJxZ0SYJSB5b?wqm1b*Cx9sd4QfFLi1@-&Fn& zW18H#LfOt8bf(#^xXPwqZ>l#vpl*fTFP8V2#&onn;{83rYW0>l77Ccm=Xmlo$@b=nC006_vRsI?eOw8B?l$w z*<6hW$O*7~`^8zSBhGGa?;|dTQ>)|SQkm_rdOn>?tF2sK5qflO+_^H%P#@U?0Ch(j zqYS{yall!FJk0e4VER93sdd2psR`JHyg|PF*Dui1*9UgUSaE9hCEVPkFD)$q<#5Tf z^!*y*n-KwWJBNK?zKkf;ImAI52LS!<&&clS;Zb_ofp-Gv7BC0`!z7<=?VR!pYKRb3 z#Y0=`gs6J7OVmgdM}Dd0hvfo(bklO-8Nz5!EUcBAbI%@tT(|s3a0nAdBcq{x^m9`b z6cp@dSorWiqwj82={;PW2f{qsJVa%RY+5Z!e;0nCH|;I1`mP+ATIsr)7r))_!C+fx z0idi8q8|Dm*tfF6LqYja$lyjAIr~h8%N7E7iWsv=p~P6O5Oa4Kl{n^SQ%dHHievdx zt6FZfi2~-D2PfB2;Bby_+zn>04W@yE<7jje&}TW@XgJr?Rjmo^i)m0Y%vfqU-|XrM z(x*S|!}9`KDsfJLNBH3h&j z&dhf1C~)pf;!QhNN?dV@9qYx?b|Qgs z`Nq_%PrLdPJkPx|6WlfC|UY4@<<-T-UQR6I5Q0v0q%`NA2CF18X>x z+;5J2Ni&=;!{L-N&14FT$YjY*KY0Am%bS}tCbI;f7JG2#PT9CowI)MM3>r3%S5hx9 z-lg~L-LjL2$Gp8?+9(@*3z#s(HEopRk`MrwOnKY++rD=Nt|V61vmfM?DJeR}`BbJJ zPJX{wjI$pbDeX$0(a@qYJrw$lbeCT3w@*m9J-#omPZ3F1 zV?qhP*PC-?mcRWge9pZX%#w_l+1Qq7Cr*~@%vP3{J)ch-MhM~(#--4oPu#f(Lt zw7cJ76|sxs=fbA4E{YAU^_w`p(f1wi}q?TzU zxtU@L`qBiC+vV#S0pzNdSm@o-ql98h-JZm@hsPkiw$~kB&%Ge+H!iL9|JU0&Md#Ir zQ9ib9H@5l4Zk)zxY}!POi;Fl$rXUHbeBs4X zedM<`J*MnxH*PmS(2-Zg^!D$;Z*x`9{)i-(A8qx`_2GWQYufeT*(TfATu$qu&)o`B zI0jm`uW>ue(VH&+ayUJ%lk0uoJnKuCETSjm*VcnyV!aI4L&sgx{`ec#XdYiQ(PQ5p z4Q@cXA1^e@k{`HGjfNP5+O0Dn8t`=rA;2)M-1)oqsD-*w~BCL=u_`d|1miHfde1* z66J%(D_E#Zwl(<0;DZ(n6f1D&!87TK+#0RHV-qS?cm(h?OyPt70#$`0!21&NGbS#s zrH%6xYFBlINWSMBqxXwE1PR-A*5qB@v+LFT2lod-+5rp-ZbMR5X^Ai zaDJFsTNB{oYHDeDo@b)crA&p`;Nact)Znvc)~NZ@j2Tro*Yb_J6A8M3Kpz8PT{uC? zwk9^e7uVZqzC=`4(f|IVG?~QjseQX@MH|7ALQS-ab2}<}U%|I+9&NLpZRGOzW^n*9 z;2f0Zi2kW>$=cBT>u0OFx&=+{M$4tAs;aS^dB^1MrOkmH%~a2`r>NrcDg8<2s-z#? zf{a=t@u=bnRLx%F4FagSGJt;B81PY5&P^?>rc4js*Oc`z3Nar@SaYuxc6KXQhxNhh zHoB84RM~x-z+N~WeHhA-H_iG|ZrYUbx*Q1&@nHAXj}!;=bTX5&7CJ5PQdIMO#DVxq z3{1?y!NGf=%dJtZlqVSF3Fof{*wEe0&FH?J;Dc{`p>K~IB|XE?r>ph1T&A#Fppvh-l!lm|o36@PX0dGho67t_M7#cLsgwsAnp=ec2n6?B zsa6iaCARkW%^Vz1yAN-l0i15{=SL^d#Wpk~bLX`ZqyIk+yE)fAs&v3$Cy#x>9Hs|N zV9@M}K#_QKtDp-6qw(rBmyny?dKkPn?ONKdhu!)Z%bgSHcRoI1ax~_$B`YNB-s&72 znQ?gZI5O3DehC!Zq0I~oZ10g~o)pci!yh+H8i^1(x#67*rV~rIEn}YFZ5EJ-UzQlL zqQsc(G;7*I#PY(OrXHrUd_{WXu<&koir^10TGcu`KRjsNmb zr^~1|4hxntjla(i@s5}`_wQhNeNA@*q}8B+gKA>zu*yTAqO+j=82{kG%C&zJn)D;W z|Mj6#lPCYs^J^pu#_fu)!h2!;;m2hXJShwee-dj7QY&3XxNUrVh zg)nH^T8!bVpuZ%=3nkudcjUU=bi!b^Jqq$bmzf`UFEG3NJg zgz<0H8h`~-NSgTq9rLKv?Z{}Ejf;**w8^S_Z#x@Lsy$|u!(gNv0D(?HK zb`W-IAt{+v^Q(WR`}O*)s%vU02ZseC{_Mju=5*;ZdFn7LeNWfbS)xw1;u349&HYiG zT2=*+LViy+(EWP6`N_ud6}?JzT$kQP+q~W(n=QeF; zZKATlomK932+G^ZNyge-#)SngTUcBM@bo|5cr^c3Kv8f<)LL1YuL(F;F>o3Ce=`eN z-dr1=`nsb2{oA+VQ)M{B*}}95Yvzf1+aw#Wq$fWg4#jT|&qn8*ryW(llDIK7acz?2 zTj^H>71i9`b}rn4Y0~cZb+h;D@gAN3G|`MoR$-aluG;!hR^*+fqX&5(}!0o*8x+!+r%>QJYsBEuevRg=LZJYMH z+A1*g3Hn9(QA{b)CGdi>2xR>L$Q_~kziI&H0??`nzu(P=pn{I}T)j50sh*PubzDM?2lNue-`uQ%pDw91-zSaPBSuxIG#0R{=nF%sh>29)tH!_ zMxRh8OCB#mJ@_RX?~kLS&Mjf+!M;o}D4^vO<@j=U{3jdeS@M{qgWj$Ndp}+njI~`{ zZnYcD?*X3sR3vAA8Q|;K%wLzhG#u8BY#Y#Fw6ska$F919CBfroFM1wY%qR8PON}?k zxZaAf;wV>m`qxn-RvATMa+>9VtCacC7czgytar27LDZg9t0J#x?|E@unp_4%S3HkD z2CSzqXc64rejZHay>HdPmVq9IHzy(Bv-z&cO-@!%hGM5dFM}u3hkx?lhvVK(!n4{o zfDV}F%N^{@_D%f9?}RZe>(srWa0^mheyf)lE6mY9-Vc@2trq5Q_d64v&Qu7<=?<&T zdxz7XZ!Yr(kgzTOch?$NLa)n@)u8Z~TgS(IJ`c7)hvDkkm$Ub{aqGd{++t#4^u5{a_rbXuw?kfs+3nt+Y#Q5TR`-n# zr_-h6-r0b+>#K+J1Bl(r4Oh%Oj^Lr1Q)BOg*MQ=9*nZAr8!DN=KYJ(iryLg~WPE_( z-Q{3Xlt@ie^YM0C7#LSfh#V4|hv+;L^9{;)htMs+otY58y@4g3p7T;IU2|F*dTMzEos%^g6 z?A6vHYzX{WCaM*qPi5G14inp4E&D4YM~K0bdjEXhdVa|iQ?q&7i}@{BmUJXfG{Qh= z-}&hWQ#XW43rLgS0?dJh`PN`(pYX-(U+ zDzn?C%k|TwY;2PXf3~xJW;M3>aa)b;J;Q_BkdmH}+{~o^sGY9!rkVTK`)`it(>CYr z1&Qa*xP(xLDV~OQeB$kFyKm-0TpIL?y0%Qg<|=50B(byg^9o*3xP~vg{kXgd7Utgd z(PgfxNzh+jXD-b`3M%69f(Q6p>53!n-91`n){W{r#;2!0AA2)v>*^NE>j$eLVGjhK z_l}2uOf%~^yU$YGcpS_{ljFB~I=#)6Dn8B+9WIpsO@E*xgje_7kq^ntZzqaIOib*~ z47lDUK)7AC2E3gfjc=R7dK>LAhYE*Zp-BqBd(M8^dZ}(_CLFJeg zV=6rrw8fI&+f#|G8jj%gp2w&)OId1a-i9`)>R%rTq<3ehmuF`t;xd<0gtKNhpU*)E z2T4xL*+j2Lo=m;H_0WE~Jtxz@-;9=vCFCC)@8?X}^zzomA6oZ|fZIqZv)grr;dDAr z=C>MFY0eS;x&Rp#aRPqWob&A9j*B;*+r;l%9r zB?HiQzDc2LOlS0Q^E+!8TA%CEYNRV3y*v6hv9a)HynimGTBVYFh#av8bTv2!=R;UG za`X|VQN4Zj=WV2?-FoBUI*T-5`9sq;EoD^Ywx%lrbTjDiFJLeGeW!elr6tGUQPOMc zDq_+0{bR+lJiNGViP4-DcOr(lo5*Cx9#|`R-o1K^&Z( zj$8K|=p$3kyqhm>Zhi-GjdVhe5kUhdC@9s90e^MK54buY7p$~!?dwZisNXz5DT>IGv&*d87A z0OR|$UgF7?Xzz#GB?Ir9Yp)OpZ0FRP%Cvne8)nXJ`c(Q<9cnelA!_o*eE;jP&+ez4 z#(Mwj)04wPq`Q`s>I~QjCvQh6+lGkZuq*I*C?0b%DQF;<46iyRD4Q3Z9b!r4M3e z3V7cW@D~^e3t#8OJk|cYbZ$i^;Pl`5NhhD@YkM8qSImH_(U5YKHoYKxT!D`-_bd#5`&I}Ha_~Q(5J`Lx#)AKWax$S$dUDYjux?cYF50Y#l zpOH38o^Och_09K}{hQe-OUhrkxH!-e7?~7?C?Z<;*FI2%IX}0dQH8qo2t}O?OC{S2J(WhKnKdl!DOiigkz16I8Vr%%c*0hsQlI2@L9z z%?g6#|I}%xr)ljgY(!Fqa-3oYSPir|h^@KY-ErpVnm$(Xc;O3H?2x)pI>|YKB{vwV{5bI$>AcZYw8*K+BxbZ`+S@GtnKT#j9ezw zC9gAaP1N?xDL^B@EXaYa-PE*?^$n|;TYklu#=-#?)=bqeS-G-Cuwf@vSP5sb6+ZN> z3Q=3u0tE~HiLtb!jInoRb2WTI8nuJCpOLIcOgK2F9mCGw z(Q{#XTvUlE>ug(c>!YL6KnL&XZbpv^1IS!6vXL606mVx;Y4#bf)7SiD8u_RAYyJ5w z2+~ziIIt1n7Zw3Qv<(BjOv-1>lrIiZPisS&_kDzeg*izX}Cfj2lr$NbvLIli0;etSwn_PrX(Gg|NH?mN-F892i2#T-ukl| zY%+a`o{v&_Qg?PdP6-W>5RQxGWf*l(Y;18Zo%FJ7(Jt@l~1R_0c6PKG##H__``Z14>J5Z*vZv;d1iP()l6vsiN zxapbB4`0h_zTt7YL}ybt%@Zowsm123uZ{i=E>4CF)k6*oiwN-d@sLtnpG_Y9jAl4q zb9oE(9|&EB)a_Z#R>$+XI;y1PONuHcAz9S)JMb0TTv;9}Nrs)K`fD{nngQBW(QA8+ zEv5NNbB}m*V5{kK@wc#BxaJ3g49N3tERm=YU(F)aJJW?P@(c?)>Kd93o7<%Pu?dYg z^zO0c;98;3Psg2x>la@D6naY0#C>sul5)0=>rx0i>9CKZn9=tvG-g{CEwkK)Hwz`2FEnMid##RokP%b4oMW6`hj~M9!NElXIn=Kg+Z(?6g=CQlSaO+7 z-!GQSdt0&Ni&bosbp$fHBM%F7wuHLV=yyX8`p_o8A7 zSZT-U98fw&{<8V`IR>j|LcFx4gGF9RbI&-1sf2n#w@k&SBz^YuH*3?>*T+0YK#o3X zgY0t?YZU_7nazWPvqvJ}TUJp~Q#Q=`lKNQo0g5|?qsYQ1erStS5zRj4a zv7(`GgU`;szP30i(O1dBJQmI8+E7yU-9-4I6j#bCBZEwEh-68IKzButV+rJ^2PKy( zH$LP=^i9nxWO-w0R-3VU^6NC?7z<-vrKx1^)70O*hcdx7gN;!)0l+w0Raq%3BLnb3 zBmNW4Mvw~oudl7G0WgpMsy${FJ{fQycwDBT5vNcN@$j3Qm|YzTH}_dPmjVm_9J~$t z?g9hpz(rMk5ji@rIv_36iy-r#<6Hgx=#u`?$3(tM zZVE!JRH=H!UjnA=iNnNBD_-oMQMAgU)@_1Ki=TK^d{7b+Loni%~Xo{~!TOXH_bQ3ysO#&WA0JmLdE^uoQCL_U5{}BopDnBC8Z^4^ZBd6|nE%p( zK#BN*_Oo3NywRdvuNEhQq`acE^zyQ|9MCiYgo>X1du1MtG_D0%1xSc90e1m)jDV+U zVh6jsRYyy5V{vE8MuL{EdmZQ8-L1z>0{jj5tH3j-%D5Hcg z*IMlE@9$sk+#DO5?wVQ-Zo(jfqC^atu@ELFe=IhtuZMBy^o&VT|WaV+EnwvNy8at1j zpcT4zKqAumR9Ltw!pv*+O9-M35|t8_bn(*uS>a#xwWm@^}q!mmQ4V) z7pF|o`Z#c@-lSPs+-9r+p)d}!-qF#K*7xr{Zl{YG8F(+jnQKt_(@HWjfe-0vY0<+w z(1=iUdDnmMMypa4En46H?_K46G{5(60I*v;v4HzriR=u`%f^TuaKb6+!`@6ZQ3*KV zWPv5H0O^0dPQXfV^qr5sIM`J;2qp3TYXFo~yY7G5P0W65q0;xGM?kvs2&grkeP(_B zxQ9^dF>8{cC8S5F+9Nz*d!;D$!hT}9<}-!OJ-^|)yr^7|GEX13de#Mws?nyt1iOb6 zCuE98d3VPJCZ`X6)R#LQIPw}dWK11;t8Co=AW#iiDfKDcz4QO z&>ue779eV1ekBL)Q4i!c9S18L-P>1ld3i)oy!2qM4?g#;fr{)(%`s-jvu73mO<4tS z`WcVc3@=qV36SpqSKgD1G1~<`|Ld1+7mRTjvH5l#0m>~5!3&N12a}xfa#Er z2u1s(aTWZ;rG5R=ze6^p(ls0fAxx~@r7a4t&qkaBDel!fDP;2G_kdFcSIm_VROK13fV8I|ijyj7H@C;m1(t2guq@*MO3{RLHUE(iTjND7d zLvn2Z!4WC6M7ZybE%Om;*IBvW>NXFYhT#mtcEVISphc0EkTA2jC`IJ=zmy>hiU_4Z zgwE9^L{LFZ4bsGeX9p0qsP69U?CkD-aQlppkDtJag^vZXL2+1wa)iScf~AjY3_nRd zUhRgC%}D^HMAAvsR8$0ljE382zkGo<5pCMp*`a4(FgjEiPCcWIBwBRPX)hLOH#!7T zQ;oiPd%r9Q;P5STl!zG{Q^b(_z+0;u7?1)4DUG2j23v7)TM!Vd!IiYMuBlZNtWH1d zUG6{-2DJ41-BLq%Eosq;NNf6>D9ZurA<%sp8X8*WpdgiH+!g|c3hkt?5R0-3emYAy zB$#zTrYaz`VP!=VHwB_DF}=+zlmf*i(DiC%sKgFmm|c%-@a|(swh93Dp{&_lY6DyN z3yd0aykn1I)L=pM~H&xTXra2l{w;8@Y zOyhVed^j9qgG2-{1t3!6M|5;T6oL$ZjS?Gcu!@H}vU9BE6VFCX8|zRGGRm zWKaX(ya0GrrDM`bdkHpPZG4_edwom;!W2=wNTql`@O766?^q}(2LAqa-RcVh*xzW9 zbMR!MNL7VK3JS>1V_GsrE>BK-eVIilj1uU9vLz{ZsICv}FvSD_oJtkoKNh(m;j=@n z#eH?Pw+u#(h%3UBFgZ%d2=d)aP%#u-Y@jNg(N8II8Qkpa3I3)2K8qSI{x!S-*kQ^7 zUe~BBtpNc@brC-xuo)`-O1XexWPny#!Bba#VsbK6Q&JO<{?Us4OwG?nwNPmJ(Xaq# zT2z*sOH2>wmJav#MM=moEFthk{)SOk0A+f7SCqsN>SCpgpuXW{&od%Cq;Yb}0ai=w zm-zU2rLSK@Wi8<-$^B`=V2a7vzA2wGZN8o->Iyf!$D zONac%LzD#=t1)qQ9xg6}BqNbO5wK%)w|56e#0JN-s4!1q5~76Qa|g|WoJ^&Fk*qa7 zdq~#ttE1h)!GU8Z%qUbu7*$>Wwv;S}Jr>|1*WCEH)q0!Le7RD5OiXBJXzMW7 zD?qYIgyaiiEG#T9KLl0~PO(ZCuwtJ;>hbpOuDEv(!5t9>20P%jZ#r3P1tLW((3^8W zeyhX7VKHGDVDA7f`)?+%6U91n&Bbb1#)|AY;0Ib zzgPuX3*xis+V)CATm^J=uoe`tVm3E2_n;?U3>6!RF6|H7pk}#q>ji2VNxAT^$=ZFhW!T-q+s>L7jmd?G3`{Q^VS0!)+Xkg@LeOw@4qML1_1_(4+ynw_IN2mfGPt_-bzoSW5`rs!CL6QF? zFcgV2$Wz#2pg(``D^u5y!Rw28;aNj;gv*Vxj6 z1CGx*GBIIux!KJMzXLlf=Hd#G7+60VnV@Sd_!6o#T96>p@Ap%#}Nx`U9uvL^riCB$tfywV~HZo(36vs1Hs}(2=run zfyL6GtUhhZ-~W-i+klkc6}H7hN@-Rcxp7F_Ari*z0#sstAnAlElyN8~Psm3Mer5qs zOCXsHkB(Bt^l1SW>6tuOQeU)=Fp@~G1RPH3Be476J==dh#8citC^ayABoN&hF8kK> z!5n>Q*_fS09{@8GOMr!(L9inaV#O;0kcx34utu0AJ3z^RR!scNUMpA#lbARbnY>%{ zq{Vh+4#*nI2vU_WtU%frpf1+^HC_Q2YJHK*?pncLR+CUh zfwY>HX*8OKPv0swp4_UX75?P|LV z&B)FDeOU9=Muqs_{j97||FJeaM6t;8U}{kT8*6Jcyh6-Kgh}z%8LG%H6hFJV1SMGU zp!0|kz^DCzDE2!JAnZje&JWHj(6XP(Rgx2aAf(&7nc7H?QyS#noS&Z$%v3kC>#M8$ zWMp6=#vVov$wKjV($E08a;W|Y?Hvt%rP&6T8(9w0j@uF=1*x_m#S)rOS;C#bof3lO zOnf;?bvf|sa!5RC0ZLk1ARdoBk@~0gbC6d>fs!)t?79UOi>QNT1`3GXASgj77wTt) zwn3qw)Zy?&Vl(Ttf%PKc=453d@F17RSht->Ly_V9NKQ(UiYMs?Fd#CB)?!v$8-`F> zWEmpKFE8FZ!)NE`fbJFDxp3-gdvF_n`(p<6B~%a!28N%PmkdC{g2A^4J0 zAeCo5C{_5Ywzd|C{CSZ8Wr?$Icd8%@6$AkMUmhy7DlisQCozTmz_(oe6X6$Zt)wuFg4pMB8nCxu$KfmkFv9nVQL1`Go}Qk4^ckV= zMELmdyAAU**rAxIv+xhV#siLh{Lfdd9~!I}Rpyra$H!6AK%6ubh9=ArhoB%4yDcN@ z5dwW!E^5%wD7e>PMC69p8I=$Gz}KYzh6a-@&MlFx)1Us$|3r=zIN|$p`|JD12Ud)T z?NN%1Ei7P#BVPdWo@1M3SkKnqpAafRyCDw+@M%K<{gjlZA;gZ6K$`fK@e%%SaAfhl zAbu^H;z8(Q2=Am9Z8|{{fH~br<)I5$hX!3q+~oyd{J| ze9KSLGUJ(=8pbmO*EnSi3UDZbxCtR?ZVQdN-UO_Mg{VZJ1si$UMT`}U0`Do0Xz_c(QA~?jOPsm|lmz_(n z>QYRiiZCS*mm9yy!Unc2`~M(m=9v|Wz;=G1(;C*#c1r+jpWHanWc!a-Dg<{NY%1U~ zJfjw5{rz4+_TQX;4tT183!{921r!SY`v672m-Bx)a0GZ3QxtbU1f!Zd^3`2d7%$#E zqN-od#hAWBjaDoAtcAx^M$rUD zNg@^odmmHySA>WNxzf4vOTsZ5;rpmlV7o1LZ0ZP;%jXi_HKLsFMoN|{_j-4{yL)og zA9b+w$o)&gR-=>o$0fY_YGt8$!1OQsqz&I}C-oe_7Eo9i6kuik*N22+5SSGKcMU-? zU2Q$!wMQsT-qy?dHwQ2SavvEk+_yM^eV~}QxZg(Zrtsq3NFGdDx6AA_s3jO>rL0kFs&G;r*4EO9jTzv-EfdcN&KB2liJV zcUaExk&%%VAhV^t|A#^kK(^(CrvaRw2>ZPU{_|8|<%tOiSDz14ko3_upSx-v79QGZ z^r}#$v=w+JQTidqq9)`ojLX>hT!*9z4J9Q1o)6#bF27uN_eOo`(aMYNuZT2+mOxMI ziJW6R`=#nZx8~t){^0>8|G{?&ofs;4c^EUAJ27>({;&J?b@N}Y&!yme$t{q^w^u7k zfp{BjlY|*o(`Lz+DJhNO&!zyu#yL~6RN}qGo0p#cSd;}d8Z1thfC4Fl{>PCsWaf;a zo2}me)6@c-3m(GDPQ*!7WDD;MJ?IUVHHS>5Xx)_B6&#L;dJ2&FyCuTVtP_M zBOkq-^!&8VtjrKfq6{KNDDcw>=7W!(FNbVO}N15}%xf|Hu)CZa^ zBG-q=%cYjVrga!YBz=EM8_oHs)RVkq_S!hCrzUqUISP=3Rr z?{(hu@j~&|G;bsk)ksW6h((+MZHo-;O?ymXMy<-Xg&9+G5fLjIK6@J4fjRSLirc+b zQvZ(g!Fa%*{xsOUhMK1sFoqy&n5aS7e1L&hxx&sgK8-*qDLO8!02wu%jY|clrYeRm z>7K?dq7W={hQ2wE5n0+JrA8SqkeA`vFh)kDyp@WELO!%dXwwVw5Mtt~XT6Wu7hgxj zwEvrox1nBJr>v>2UZKtvYo4_q7GYBB9jiJ{15maoC!D)TwsTfylr^4e=@M{K34uwjqR49DR<9CS2}#meIDDu2B)bw1!#ELDq$4t{jEk!{gbjwymS^u}rn{uesb->q(y=gQq?UtfX&W#$Q|F z@>tD&?;R()xa(EU>%e1#BJMB6eVX0w#QV!|C_ilH^Tknyr3CD)_q7pff7#dRNkqlM z8)TExr4+ss-HY*{C{rZrH9id6K`7C2I;oz*k~M`GS}Y- z*t`5}HXTlLn7daZl>|m{OpsUhZ-EBClb85_lqF~G#@PJ3)detWHcl)0=xEc4UTxxo z?X$pL+R^*G>#5~yOyA!e^`9ogL*sx=`|@jkhmnJKZUg!GQ%}a{$}hL2McM6LnyIYT zr&C>O$`Eu*#WUFI^e`oad|vK+PYfZxYr?(he>-u`=EFTrxQ+< z1WfU0GhlX;!wEi}`OELmkHvRbvzuS9sP#E*MCs?x=YQH<4o#Fu);KpeBpJ~%?TJ3J zyFy92@3W6)F&eukQ?|8GJPM?`TaiDx)e6UI&=FYC=&k5~%*Xi~Wx3oOx@a<&=4L8b zl>C$9e$3^t`Q1hm|1eU5pAz-29L9c=w>F>`Uu7OPtTs}o(dV=0{4`uHgT|z}KT747 z!xL;L>PMS1zmIc&LF8D72Obt>{=I`cv@#LLHye4x`RI4Z;8HAvRZ7OE2n4#MK8ZZY zk-FDycCwH1HWr9oRqXgGf=r)Lc|!fSVl`F&5BsI{?}thTT@GylMz>`HGs89kU%On{ zuD7O!9SG{)ZspgymfLPMG1N>b?#GW;GEtGXVrqL=;s56|42wlcQ2itBB8S+I<7iDE z_Q5uT+gHYbg{KnDByTEWn>R(ox7^|B#Nic%7}ODNI@Bp!EZ7sycdga*Nw^W(VR!}(*ezn{YhpF=@-1!a@}gBOQ(WT_k`U3J6C~$ z2?+(@{hP5m4^HN9#SM_sNCX^$WURDp=|3Q~v)yW+W*+wC)0p)HKf5=|l?*g0xbAuX z(X49!uk#saB8hSVIm(!^^4?!a+AH8BZuQF$V#e0*LJGPEZnwn$eUpI8`)tjKLmEnr z){l!%9Q|?We5v7Q7@}saPAju>Ma0*l`uSA}&iz9su6YdtFxNi^FN-rEWO|#_qAa&T ztyi5Lm^RI{(iiDz6xw+!IcEksaACf0GU1atINiqI?tYt93$L}CY^*y85i^Rll7Ji@ zh9~wB>>fcR6i5znguJ0VG3a7zh@fQ_h%u zyc7*0a_v<=QevqF3Yoq^Kt3C*L*pubn$!=RE7_z=*XuRgIR{gd zVx4xY(cqlQcSV#O*_~Z-`vlJQ6yda}FUAcrRAkCJt1Q0H11czCk99+OzL9}oHTS;m z;E^f3h}%5o8myJ{3~gx!hh*emQhp5Y5w>{muObsJ*6a5ApB#ZUr`lTNwDn7_@_#W8 z?92InN4DD+S<5rCaV?Wtr7sKZ#Y0hqE6p0n@BQ~a{y~Y4%uN3Nijs|n%{6Htv86AS z2*&uMv)%oX^eoZis6PD%evWH`jM=Xd-{JSJYW$OqfpC9BkZ-`o$x(ZVJ}bEKE?SC- zXO#UozR2>e(DHjfGrG&(I2?cCn^}?Az&v2K`Qhhug$sTocLvEWKG&B6g z=j4RJLLv8g-;S-sBNv?8?8gclMV}m1A*qO!4yO z-C_);XliDDwEbEJQ=!~IaVX}UCwfA_=AMN6eD&R4JXtO=F)!bTV>^!5acImb$osvU z(87V+ilp>#?{IN`B*k<$`*JFGy|apX*Sjmn1s>tfX=v52mTUsRV{LS}xg_$Hr0Le- zW^b52-6-#98vPD{8P*D^yHR{o@crBLu|b=2b0c-Lf72DcT7Z5#;?cMfC$G*~DaXz} zujYVtgobadLaooA=Y*PnvuQyo*}&FdEq$q+e-Ssdt6TXf*EoTOf$sVITL&AK^3U%k zEH7v8I*kJ^=ZCV`%eY%Cotoab((ho=B0Ik`2IRPh!sIC@R%g zdrtbD#N_slsH%JXxR2`^UJ5Cc5}D$At7f8zH~fg2OTAXZrQ2KW_vwByr@JDOiqlS5 z>YWIgw&gFcE7xmKl^~8z;$zn+$^8C{wZTNk)TXZvfCes5BylmY_`j)i2<~dg6^e?e zr>8D1Wpu{o;>ldEHMq0Gx(p5b{Z1O^mhq4fOR>X}D^ zm5Iv7Nbqi0)Kf~M`__0O#SWM9pA}G#gw!MJFerBjBYRjTE5B+xO0r!p%HINAK&+awaaJ|eg-AaT3vw!Z@v(979c$RP=v?lRwg@^Ckqf&s z($UcHkY+5gk&O+jP+&%P_Oi{WT{-)Cp3hUYG4n#ru>}fTJ!<0;4Z{;jYKPlDG;Dz+ zucHyL2iX5SG7ipg{|Lj09O@1ccTD+27-c0w;~E)ZmAOq4dSj*E!qUn{7S&!I2^44Z zkE8m%V!68b;ZNWQ{^_89WoW?uCHfbuQeIyxGS)uu(50fT4k9$ujs;AG|z2eDk8{25y4Fb?m#%=q1fL1@C~X*qx~#6E^R)7R}?e- zR`i9slVcJ3kfu(k6#)~{*}9ldIWPENSdh1;GmgdY`$<1+cq^o^QrZwYA+5K+7<&{? zyfIk7E)7<}mP}80kclY9Pr8963T3YEi+Y}&i_j5SP(iLpz1h_75LXQRx%NPHluQKt z(N~`0Y91&}H#ArTa@ac%b_uzrPzS{T0)WXpp*2g+V5A$_KOd20wS|R{Kp=x{i!i4_ zudIc&>QbQ}u-D@iA=8K7nxeuO2f7Ks2D%PJ&d2xM7X%sblk8m}Fr&-z6^HX&sM8}p zzBeH!#r6G#%fHk8ezmz;WVd&%6%~rN&!pLZ0kn0y{DMB$|KDWLK|+S*;)4RzKxamp zU_}SmBqR!qA<&aqen!DbP>8$>Zukjp_;w1fiA1LZ3yQ`f1LC=M!nUm`pUgo9l(Wtd z;E_t}5fBy@Cc~(gJw$RO0DOhhFBi<5aKsJDJTXQFHJ5c0qQ+w(QW|R0Qa$8+nD-EV z9tCXM=jZR)#M<>Rym5dS1BhLo2RNi4rC^Z{OC3)5b!jZgm4(6hf2av7fbIaGDZ<=c zJ?(h!KHT0%qKSV;3|LzqHQg;kN}*zOvg)MH{9_&^ar2@c-_gSf~-{4 zszFQV(ST57@SirlB2z0>iZ=gou0U=`s;HRI*z-HB+S*8P^@4Rs|4hfHH(1KZ{Cp$R z;J^Piw8W@!yY<_CP+5I_g>a6c6yH0$=8=|gM6JQcFbdobn+r?5n=amb{@Z|{!3}-) ztdezHCx(Iu5;=ngz8($=q5=1R|1J=?8$`&^e87S2>HpIU!YodCo2Y@ez(L(a+?v`I zX5Lm!=h-TR{CB7%`6`2p)X!viRW25%hZ7z6M6z7?2+xj=H&XDhJ8w6x9StzxM^;i% KqE5^(_