update default input file

This commit is contained in:
collin 2020-09-03 16:16:12 -07:00
parent 2d7886f951
commit db3eeddbbb

View File

@ -71,27 +71,27 @@ impl StateFile {
[state]
leaf_index: u32 = 0;
root: [u8; 32] = [0u8; 32];
root: [u8; 32] = [0; 32];
[[private]]
[record]
serial_number: [u8; 64] = [0u8; 64];
commitment: [u8; 32] = [0u8; 32];
serial_number: [u8; 64] = [0; 64];
commitment: [u8; 32] = [0; 32];
owner: address = aleo1daxej63vwrmn2zhl4dymygagh89k5d2vaw6rjauueme7le6k2q8sjn0ng9;
is_dummy: bool = false;
value: u64 = 5;
payload: [u8; 32] = [0u8; 32];
birth_program_id: [u8; 48] = [0u8; 48];
death_program_id: [u8; 48] = [0u8; 48];
serial_number_nonce: [u8; 32] = [0u8; 32];
commitment_randomness: [u8; 32] = [0u8; 32];
value: u64 = 0;
payload: [u8; 32] = [0; 32];
birth_program_id: [u8; 48] = [0; 48];
death_program_id: [u8; 48] = [0; 48];
serial_number_nonce: [u8; 32] = [0; 32];
commitment_randomness: [u8; 32] = [0; 32];
[state_leaf]
path: [u8; 128] = [0u8; 128];
memo: [u8; 32] = [0u8; 32];
path: [u8; 128] = [0; 128];
memo: [u8; 32] = [0; 32];
network_id: u8 = 0;
leaf_randomness: [u8; 32] = [0u8; 32];
leaf_randomness: [u8; 32] = [0; 32];
"#,
self.package_name
)