verilator: use systemc and other fixes

This commit is contained in:
Andrew Miloradovsky 2023-07-21 09:45:55 +00:00
parent d0ad644851
commit a655cc3d9e

View File

@ -1,8 +1,6 @@
{ lib, stdenv, fetchFromGitHub
, perl, flex, bison, python3, autoconf
, which, cmake, help2man
, makeWrapper, glibcLocales
}:
{ lib, stdenv, fetchFromGitHub, perl, flex, bison, python3, autoconf,
which, cmake, ccache, help2man, makeWrapper, glibcLocales,
systemc, git, numactl }:
stdenv.mkDerivation rec {
pname = "verilator";
@ -16,9 +14,9 @@ stdenv.mkDerivation rec {
};
enableParallelBuilding = true;
buildInputs = [ perl ];
nativeBuildInputs = [ makeWrapper flex bison python3 autoconf help2man ];
nativeCheckInputs = [ which ];
buildInputs = [ perl python3 systemc ]; # ccache
nativeBuildInputs = [ makeWrapper flex bison autoconf help2man git ];
nativeCheckInputs = [ which numactl ]; # cmake
doCheck = stdenv.isLinux; # darwin tests are broken for now...
checkTarget = "test";
@ -26,8 +24,12 @@ stdenv.mkDerivation rec {
preConfigure = "autoconf";
postPatch = ''
patchShebangs bin/* src/{flexfix,vlcovgen} test_regress/{driver.pl,t/*.pl}
patchShebangs bin/* src/* nodist/* docs/bin/* examples/xml_py/* \
test_regress/{driver.pl,t/*.{pl,pf}} \
ci/* ci/docker/run/* ci/docker/run/hooks/* ci/docker/buildenv/build.sh
'';
# grep '^#!/' -R . | grep -v /nix/store | less
# (in nix-shell after patchPhase)
postInstall = lib.optionalString stdenv.isLinux ''
for x in $(ls $out/bin/verilator*); do
@ -36,10 +38,10 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
description = "Fast and robust (System)Verilog simulator/compiler";
homepage = "https://www.veripool.org/wiki/verilator";
description = "Fast and robust (System)Verilog simulator/compiler and linter";
homepage = "https://www.veripool.org/verilator";
license = with licenses; [ lgpl3Only artistic2 ];
platforms = platforms.unix;
maintainers = with maintainers; [ thoughtpolice ];
maintainers = with maintainers; [ thoughtpolice amiloradovsky ];
};
}